Index of /alpine/edge/testing/armhf/


../
3proxy-0.9.5-r1.apk                                17-Nov-2025 12:54              363403
3proxy-doc-0.9.5-r1.apk                            17-Nov-2025 12:54               27011
3proxy-openrc-0.9.5-r1.apk                         17-Nov-2025 12:54                1734
3proxy-systemd-0.9.5-r1.apk                        17-Nov-2025 12:54                1891
66-0.8.2.1-r0.apk                                  03-Jun-2025 19:11              346539
66-dbg-0.8.2.1-r0.apk                              03-Jun-2025 19:11              774118
66-dev-0.8.2.1-r0.apk                              03-Jun-2025 19:11             1678587
66-doc-0.8.2.1-r0.apk                              03-Jun-2025 19:11              194939
66-init-0.8.2.1-r0.apk                             03-Jun-2025 19:11                1575
66-tools-0.1.2.0-r0.apk                            01-Jun-2025 01:39               62573
66-tools-dbg-0.1.2.0-r0.apk                        01-Jun-2025 01:39              112260
66-tools-dev-0.1.2.0-r0.apk                        01-Jun-2025 01:39                2053
66-tools-doc-0.1.2.0-r0.apk                        01-Jun-2025 01:39               40311
66-tools-nsrules-0.1.2.0-r0.apk                    01-Jun-2025 01:39                2922
APKINDEX.tar.gz                                    01-Jan-2026 05:35              715661
a2jmidid-9-r3.apk                                  25-Oct-2024 20:05               27386
a2jmidid-doc-9-r3.apk                              25-Oct-2024 20:05                4287
abc-0_git20240102-r0.apk                           25-Oct-2024 20:05             5050844
abnfgen-0.21-r0.apk                                27-May-2025 21:40               17107
abnfgen-doc-0.21-r0.apk                            27-May-2025 21:40                5193
ace-of-penguins-1.4-r3.apk                         25-Oct-2024 20:05              158777
ace-of-penguins-doc-1.4-r3.apk                     25-Oct-2024 20:05               49751
acmeleaf-0.2.0-r1.apk                              04-Dec-2025 13:54             5306919
acmeleaf-doc-0.2.0-r1.apk                          04-Dec-2025 13:54                5421
acmetool-0.2.2-r18.apk                             04-Dec-2025 13:54             4740356
acmetool-doc-0.2.2-r18.apk                         04-Dec-2025 13:54               47774
adguardhome-0.107.71-r0.apk                        13-Dec-2025 16:13            11526350
adguardhome-openrc-0.107.71-r0.apk                 13-Dec-2025 16:13                2246
adjtimex-1.29-r0.apk                               25-Oct-2024 20:05               20834
adjtimex-doc-1.29-r0.apk                           25-Oct-2024 20:05                7259
admesh-0.98.5-r0.apk                               25-Oct-2024 20:05               25821
admesh-dev-0.98.5-r0.apk                           25-Oct-2024 20:05                4141
admesh-doc-0.98.5-r0.apk                           25-Oct-2024 20:05               23993
advancescan-1.18-r1.apk                            25-Oct-2024 20:05              245681
advancescan-doc-1.18-r1.apk                        25-Oct-2024 20:05                7440
afetch-2.2.0-r1.apk                                25-Oct-2024 20:05                9654
afetch-doc-2.2.0-r1.apk                            25-Oct-2024 20:05               13904
afew-3.0.1-r0.apk                                  18-May-2025 22:29               74891
afew-doc-3.0.1-r0.apk                              18-May-2025 22:29               12694
agate-3.3.19-r0.apk                                23-Sep-2025 19:42              762648
agate-openrc-3.3.19-r0.apk                         23-Sep-2025 19:42                2007
aggregate6-1.0.14-r0.apk                           14-Oct-2025 11:05                7430
aggregate6-doc-1.0.14-r0.apk                       14-Oct-2025 11:05                3007
aggregate6-pyc-1.0.14-r0.apk                       14-Oct-2025 11:05                5951
agrep-0.8.0-r2.apk                                 25-Oct-2024 20:05                8547
agrep-doc-0.8.0-r2.apk                             25-Oct-2024 20:05                4210
aide-0.19.2-r0.apk                                 05-Sep-2025 06:56               89284
aide-doc-0.19.2-r0.apk                             05-Sep-2025 06:56               15917
alacritty-graphics-0.16.1-r0.apk                   18-Dec-2025 00:03             2277134
alacritty-graphics-bash-completion-0.16.1-r0.apk   18-Dec-2025 00:03                2831
alacritty-graphics-doc-0.16.1-r0.apk               18-Dec-2025 00:03               42086
alacritty-graphics-fish-completion-0.16.1-r0.apk   18-Dec-2025 00:03                3150
alacritty-graphics-zsh-completion-0.16.1-r0.apk    18-Dec-2025 00:03                3454
alertmanager-irc-relay-0.5.1-r1.apk                05-Sep-2025 06:56             4986816
alertmanager-irc-relay-openrc-0.5.1-r1.apk         05-Sep-2025 06:56                2033
alpine-lift-0.2.0-r28.apk                          04-Dec-2025 13:54             3879680
alps-0_git20230807-r17.apk                         04-Dec-2025 13:54             5788367
alps-openrc-0_git20230807-r17.apk                  04-Dec-2025 13:54                2063
alttab-1.7.1-r0.apk                                25-Oct-2024 20:05               38316
alttab-doc-1.7.1-r0.apk                            25-Oct-2024 20:05               10551
amber-0.4.0_alpha-r0.apk                           18-Sep-2025 02:18              554743
amdgpu-fan-0.1.0-r5.apk                            25-Oct-2024 20:05               14349
amdgpu-fan-pyc-0.1.0-r5.apk                        25-Oct-2024 20:05                9847
amdgpu_top-0.11.0-r0.apk                           03-Sep-2025 00:49             7261225
amdgpu_top-doc-0.11.0-r0.apk                       03-Sep-2025 00:49                4077
amiitool-2-r2.apk                                  25-Oct-2024 20:05                6833
ampy-1.1.0-r6.apk                                  19-Mar-2025 11:44               15780
ampy-doc-1.1.0-r6.apk                              19-Mar-2025 11:44                4192
ampy-pyc-1.1.0-r6.apk                              19-Mar-2025 11:44               19916
amule-2.3.3-r13.apk                                25-Oct-2024 20:05             4247313
amule-doc-2.3.3-r13.apk                            25-Oct-2024 20:05              288199
amule-lang-2.3.3-r13.apk                           25-Oct-2024 20:05             1644043
anarch-1.0-r1.apk                                  25-Oct-2024 20:05               97665
anarch-doc-1.0-r1.apk                              25-Oct-2024 20:05               18410
anari-sdk-0.7.2-r0.apk                             25-Oct-2024 20:05              291666
anari-sdk-dev-0.7.2-r0.apk                         25-Oct-2024 20:05               59977
anari-sdk-static-0.7.2-r0.apk                      25-Oct-2024 20:05              207301
android-apkeep-0.17.0-r0.apk                       25-Oct-2024 20:05             2021816
android-build-tools-15.0-r1.apk                    11-Dec-2025 22:06             1823774
android-file-transfer-4.5-r0.apk                   24-Jun-2025 23:02              194397
android-file-transfer-cli-4.5-r0.apk               24-Jun-2025 23:02              109844
android-file-transfer-dev-4.5-r0.apk               24-Jun-2025 23:02                1606
android-file-transfer-libs-4.5-r0.apk              24-Jun-2025 23:02              128767
angband-4.2.5-r0.apk                               25-Oct-2024 20:05            23732631
ansible-bender-0.10.1-r2.apk                       25-Oct-2024 20:05               37168
ansible-bender-doc-0.10.1-r2.apk                   25-Oct-2024 20:05               10335
ansible-bender-pyc-0.10.1-r2.apk                   25-Oct-2024 20:05               66571
antibody-6.1.1-r33.apk                             04-Dec-2025 13:54             1871576
antimicrox-3.5.1-r0.apk                            13-Jun-2025 13:54             1680338
antimicrox-doc-3.5.1-r0.apk                        13-Jun-2025 13:54               24418
aoetools-37-r2.apk                                 14-Jan-2025 08:23               20278
aoetools-doc-37-r2.apk                             14-Jan-2025 08:23               14084
apache-mod-auth-gssapi-1.6.5-r1.apk                25-Oct-2024 20:05               57574
apache-mod-auth-openidc-2.4.16.11-r1.apk           22-May-2025 06:53              233048
apache-mod-auth-openidc-doc-2.4.16.11-r1.apk       22-May-2025 06:53                4552
apache-mod-auth-openidc-static-2.4.16.11-r1.apk    22-May-2025 06:53              299578
apache2-mod-authnz-external-3.3.3-r0.apk           25-Oct-2024 20:05                7376
apache2-mod-authnz-external-doc-3.3.3-r0.apk       25-Oct-2024 20:05               10289
apache2-mod-maxminddb-1.2.0-r0.apk                 19-May-2025 10:23               10905
apache2-mod-perl-2.0.13-r2.apk                     30-Jun-2025 07:14              652231
apache2-mod-perl-dbg-2.0.13-r2.apk                 30-Jun-2025 07:14               77630
apache2-mod-perl-dev-2.0.13-r2.apk                 30-Jun-2025 07:14               38898
apache2-mod-perl-doc-2.0.13-r2.apk                 30-Jun-2025 07:14              311304
apache2-mod-realdoc-1-r1.apk                       25-Oct-2024 20:05                4791
apk-autoupdate-0_git20210421-r1.apk                19-Nov-2024 22:34               13330
apk-autoupdate-doc-0_git20210421-r1.apk            19-Nov-2024 22:34                7121
apk-snap-3.1.1-r0.apk                              25-Oct-2024 20:05                6784
apk-snap-doc-3.1.1-r0.apk                          25-Oct-2024 20:05               20404
aports-glmr-0.2-r33.apk                            04-Dec-2025 13:54             2724356
appcenter-8.0.0-r0.apk                             12-Nov-2024 21:55              402664
appcenter-lang-8.0.0-r0.apk                        12-Nov-2024 21:55              264505
aprilsh-0.7.12-r10.apk                             04-Dec-2025 13:54                1679
aprilsh-client-0.7.12-r10.apk                      04-Dec-2025 13:54             3397761
aprilsh-doc-0.7.12-r10.apk                         04-Dec-2025 13:54               14755
aprilsh-openrc-0.7.12-r10.apk                      04-Dec-2025 13:54                1870
aprilsh-server-0.7.12-r10.apk                      04-Dec-2025 13:54             2537637
apt-dater-1.0.4-r4.apk                             25-Oct-2024 20:05               57747
apt-dater-doc-1.0.4-r4.apk                         25-Oct-2024 20:05               10109
apt-dater-lang-1.0.4-r4.apk                        25-Oct-2024 20:05               13449
apt-mirror-0.5.4-r0.apk                            25-Oct-2024 20:05                9672
apt-mirror-doc-0.5.4-r0.apk                        25-Oct-2024 20:05                4739
apt-swarm-0.5.1-r1.apk                             12-Oct-2025 13:44             3188186
apt-swarm-bash-completion-0.5.1-r1.apk             12-Oct-2025 13:44                4286
apt-swarm-fish-completion-0.5.1-r1.apk             12-Oct-2025 13:44                5876
apt-swarm-openrc-0.5.1-r1.apk                      12-Oct-2025 13:44                1999
apt-swarm-zsh-completion-0.5.1-r1.apk              12-Oct-2025 13:44                6015
aptdec-1.8.0-r1.apk                                08-Feb-2025 23:43               86973
aptdec-dev-1.8.0-r1.apk                            08-Feb-2025 23:43                3506
aptdec-libs-1.8.0-r1.apk                           08-Feb-2025 23:43               14318
apulse-0.1.14-r0.apk                               06-Sep-2025 12:01               36145
apulse-doc-0.1.14-r0.apk                           06-Sep-2025 12:01                3016
apx-2.4.5-r5.apk                                   04-Dec-2025 13:54             3680913
apx-doc-2.4.5-r5.apk                               04-Dec-2025 13:54                2464
aqemu-0.9.4-r3.apk                                 25-Oct-2024 20:05             1652954
aqemu-doc-0.9.4-r3.apk                             25-Oct-2024 20:05                7697
arc-20221218-r0.apk                                25-Oct-2024 20:05                1787
arc-cinnamon-20221218-r0.apk                       25-Oct-2024 20:05               69667
arc-dark-20221218-r0.apk                           25-Oct-2024 20:05                1793
arc-dark-cinnamon-20221218-r0.apk                  25-Oct-2024 20:05               70070
arc-dark-gnome-20221218-r0.apk                     25-Oct-2024 20:05               27726
arc-dark-gtk2-20221218-r0.apk                      25-Oct-2024 20:05               39320
arc-dark-gtk3-20221218-r0.apk                      25-Oct-2024 20:05               95510
arc-dark-gtk4-20221218-r0.apk                      25-Oct-2024 20:05               88307
arc-dark-metacity-20221218-r0.apk                  25-Oct-2024 20:05               17891
arc-dark-xfwm-20221218-r0.apk                      25-Oct-2024 20:05                8058
arc-darker-20221218-r0.apk                         25-Oct-2024 20:05                1804
arc-darker-gtk2-20221218-r0.apk                    25-Oct-2024 20:05               39429
arc-darker-gtk3-20221218-r0.apk                    25-Oct-2024 20:05              126715
arc-darker-gtk4-20221218-r0.apk                    25-Oct-2024 20:05              112798
arc-darker-metacity-20221218-r0.apk                25-Oct-2024 20:05               17902
arc-darker-xfwm-20221218-r0.apk                    25-Oct-2024 20:05                8059
arc-gnome-20221218-r0.apk                          25-Oct-2024 20:05               29327
arc-gtk2-20221218-r0.apk                           25-Oct-2024 20:05               38430
arc-gtk3-20221218-r0.apk                           25-Oct-2024 20:05              128895
arc-gtk4-20221218-r0.apk                           25-Oct-2024 20:05              116243
arc-icon-theme-20161122-r0.apk                     25-Oct-2024 20:05             4571266
arc-lighter-20221218-r0.apk                        25-Oct-2024 20:05                1807
arc-lighter-gtk2-20221218-r0.apk                   25-Oct-2024 20:05               38442
arc-lighter-gtk3-20221218-r0.apk                   25-Oct-2024 20:05              127570
arc-lighter-gtk4-20221218-r0.apk                   25-Oct-2024 20:05              115527
arc-lighter-metacity-20221218-r0.apk               25-Oct-2024 20:05               17705
arc-lighter-xfwm-20221218-r0.apk                   25-Oct-2024 20:05                7904
arc-metacity-20221218-r0.apk                       25-Oct-2024 20:05               17659
arc-theme-20221218-r0.apk                          25-Oct-2024 20:05                1482
arc-xfwm-20221218-r0.apk                           25-Oct-2024 20:05                7882
arduino-cli-1.3.1-r2.apk                           04-Dec-2025 13:54             8962205
arif-0.3.0-r0.apk                                  30-Nov-2025 10:11               16684
arif-dev-0.3.0-r0.apk                              30-Nov-2025 10:11                3550
arif-doc-0.3.0-r0.apk                              30-Nov-2025 10:11               19859
armagetronad-0.2.9.1.1-r0.apk                      25-Oct-2024 20:05             1628217
armagetronad-doc-0.2.9.1.1-r0.apk                  25-Oct-2024 20:05               94148
asdf-0.18.0-r5.apk                                 04-Dec-2025 13:54             1694396
asdf-doc-0.18.0-r5.apk                             04-Dec-2025 13:54                2299
aspell-es-1.11-r0.apk                              25-Oct-2024 20:05              545800
asymptote-3.04-r0.apk                              31-May-2025 06:51             1462203
asymptote-doc-3.04-r0.apk                          31-May-2025 06:51             3286587
atac-0.18.1-r0.apk                                 25-Nov-2024 21:43             5054750
atomicparsley-20240608-r0.apk                      25-Oct-2024 20:05              118063
atool-0.39.0-r4.apk                                25-Oct-2024 20:05               18153
atool-bash-completion-0.39.0-r4.apk                25-Oct-2024 20:05                2091
atool-doc-0.39.0-r4.apk                            25-Oct-2024 20:05                9837
aufs-util-20161219-r3.apk                          25-Oct-2024 20:05              195699
aufs-util-dev-20161219-r3.apk                      25-Oct-2024 20:05                1495
aufs-util-doc-20161219-r3.apk                      25-Oct-2024 20:05               34708
authenticator-rs-0.8.6-r0.apk                      01-Sep-2025 18:27             2359059
authenticator-rs-lang-0.8.6-r0.apk                 01-Sep-2025 18:27                4165
autoconf-policy-0.1-r0.apk                         25-Oct-2024 20:05                5619
autoremove-torrents-1.5.5-r0.apk                   25-Oct-2024 20:05               36290
autoremove-torrents-doc-1.5.5-r0.apk               25-Oct-2024 20:05               11855
autoremove-torrents-pyc-1.5.5-r0.apk               25-Oct-2024 20:05               55081
autorestic-1.8.3-r10.apk                           04-Dec-2025 13:54             3934696
autotrash-0.4.7-r0.apk                             25-Oct-2024 20:05               23360
autotrash-pyc-0.4.7-r0.apk                         25-Oct-2024 20:05               14394
avahi2dns-0.1.0-r7.apk                             24-Dec-2025 17:05             2618160
avahi2dns-openrc-0.1.0-r7.apk                      24-Dec-2025 17:05                1846
avarice-2.14-r4.apk                                25-Oct-2024 20:05               82143
avarice-doc-2.14-r4.apk                            25-Oct-2024 20:05                9672
avra-1.4.2-r0.apk                                  25-Oct-2024 20:05               38588
avra-dev-1.4.2-r0.apk                              25-Oct-2024 20:05              260830
aws-ecr-get-login-password-1.0.0_rc2-r1.apk        04-Dec-2025 13:54             3338292
aws-ecr-get-login-password-doc-1.0.0_rc2-r1.apk    04-Dec-2025 13:54                2383
azote-1.14.0-r0.apk                                14-Dec-2024 20:38             7979171
azote-pyc-1.14.0-r0.apk                            14-Dec-2024 20:38              100359
azpainter-3.0.11-r0.apk                            22-Feb-2025 11:09              718619
azpainter-doc-3.0.11-r0.apk                        22-Feb-2025 11:09               42907
azure-iot-sdk-c-static-1.11.0-r0.apk               25-Oct-2024 20:05              795678
b2-tools-4.3.2-r0.apk                              03-May-2025 08:44               74225
b2-tools-pyc-4.3.2-r0.apk                          03-May-2025 08:44              139146
backup-manager-0.7.15-r1.apk                       25-Oct-2024 20:05               56642
bacon-3.18.0-r0.apk                                30-Sep-2025 17:38             1904290
baikal-0.10.1-r1.apk                               27-May-2025 21:41             1311146
baikal-mysql-0.10.1-r1.apk                         27-May-2025 21:41                1320
baikal-pgsql-0.10.1-r1.apk                         27-May-2025 21:41                1320
baikal-sqlite-0.10.1-r1.apk                        27-May-2025 21:41                1470
bake-2.5.1-r0.apk                                  25-Oct-2024 20:05              112041
bakelite-0.4.2-r0.apk                              25-Oct-2024 20:05               39427
baresip-4.1.0-r0.apk                               03-Oct-2025 07:59             1035315
baresip-dev-4.1.0-r0.apk                           03-Oct-2025 07:59               16391
barman-3.16.2-r0.apk                               20-Nov-2025 22:15              387268
barman-bash-completion-3.16.2-r0.apk               20-Nov-2025 22:15                1680
barman-doc-3.16.2-r0.apk                           20-Nov-2025 22:15               90990
barman-pyc-3.16.2-r0.apk                           20-Nov-2025 22:15              608919
barnyard2-2.1.14_git20160413-r1.apk                25-Oct-2024 20:05              125149
barnyard2-openrc-2.1.14_git20160413-r1.apk         25-Oct-2024 20:05                2827
barrier-2.4.0-r2.apk                               17-Feb-2025 12:07              931330
barrier-doc-2.4.0-r2.apk                           17-Feb-2025 12:07               13301
bartib-1.0.1-r1.apk                                25-Oct-2024 20:05              368418
base64c-0.2.1-r0.apk                               25-Oct-2024 20:05                4346
base64c-dev-0.2.1-r0.apk                           25-Oct-2024 20:05                5210
bash-pinyin-completion-rs-1.0.3-r0.apk             10-Dec-2025 10:25              319741
bash-pinyin-completion-rs-doc-1.0.3-r0.apk         10-Dec-2025 10:25               13902
bat-extras-2024.08.24-r0.apk                       12-Oct-2025 20:47                5445
bat-extras-batdiff-2024.08.24-r0.apk               12-Oct-2025 20:47                5533
bat-extras-batgrep-2024.08.24-r0.apk               12-Oct-2025 20:47                7527
bat-extras-batman-2024.08.24-r0.apk                12-Oct-2025 20:47                4865
bat-extras-batpipe-2024.08.24-r0.apk               12-Oct-2025 20:47                7197
bat-extras-batwatch-2024.08.24-r0.apk              12-Oct-2025 20:47                5989
bat-extras-doc-2024.08.24-r0.apk                   12-Oct-2025 20:47               15899
bat-extras-prettybat-2024.08.24-r0.apk             12-Oct-2025 20:47                5713
batmon-0.0.1-r0.apk                                25-Oct-2024 20:05              454748
battery-limit-openrc-1-r0.apk                      17-Jun-2025 10:18                1882
bcg729-1.1.1-r1.apk                                15-Oct-2025 08:20               36050
bcg729-dev-1.1.1-r1.apk                            15-Oct-2025 08:20                3709
bchunk-1.2.2-r3.apk                                25-Oct-2024 20:05                7531
bchunk-doc-1.2.2-r3.apk                            25-Oct-2024 20:05                3065
bdfr-2.6.2-r1.apk                                  25-Oct-2024 20:05              134074
beard-0.4-r0.apk                                   25-Oct-2024 20:05                3217
beard-doc-0.4-r0.apk                               25-Oct-2024 20:05                2539
bees-0.10-r2.apk                                   25-Oct-2024 20:05              310458
bees-openrc-0.10-r2.apk                            25-Oct-2024 20:05                1992
belcard-5.3.105-r0.apk                             25-Feb-2025 12:48               12661
belcard-dev-5.3.105-r0.apk                         25-Feb-2025 12:48               11735
belcard-libs-5.3.105-r0.apk                        25-Feb-2025 12:48              197980
belle-sip-5.3.105-r0.apk                           25-Feb-2025 12:52              645226
belle-sip-dev-5.3.105-r0.apk                       25-Feb-2025 12:52               55170
belr-5.3.105-r0.apk                                25-Feb-2025 12:52              104659
belr-dev-5.3.105-r0.apk                            25-Feb-2025 12:52               14883
berry-lang-1.1.0-r0.apk                            25-Oct-2024 20:05               99210
bestline-0.0_git20211108-r0.apk                    25-Oct-2024 20:05               20559
bestline-dev-0.0_git20211108-r0.apk                25-Oct-2024 20:05                1720
bestline-doc-0.0_git20211108-r0.apk                25-Oct-2024 20:05            18463004
bettercap-2.41.5-r0.apk                            16-Dec-2025 01:51            19768646
bettercap-doc-2.41.5-r0.apk                        16-Dec-2025 01:51               13915
bgpq4-1.15-r0.apk                                  25-Oct-2024 20:05               34610
bgpq4-doc-1.15-r0.apk                              25-Oct-2024 20:05                6496
bgs-0.8-r1.apk                                     25-Oct-2024 20:05                5954
bgs-doc-0.8-r1.apk                                 25-Oct-2024 20:05                2360
biboumi-9.0-r9.apk                                 07-Oct-2025 21:03              276602
biboumi-doc-9.0-r9.apk                             07-Oct-2025 21:03                1511
biboumi-openrc-9.0-r9.apk                          07-Oct-2025 21:03                1938
bindfs-1.17.7-r1.apk                               19-Jun-2025 08:34               22205
bindfs-doc-1.17.7-r1.apk                           19-Jun-2025 08:34                9269
biometryd-0.3.3-r0.apk                             10-Dec-2025 10:25              302872
biometryd-dev-0.3.3-r0.apk                         10-Dec-2025 10:25               13279
birdtray-1.11.4-r0.apk                             12-Dec-2025 17:03              441181
bitlbee-facebook-1.2.2-r0.apk                      25-Oct-2024 20:05               54988
bitlbee-mastodon-1.4.5-r0.apk                      25-Oct-2024 20:05               44341
bitritter-0.1.1-r0.apk                             25-Oct-2024 20:05             2223253
bkt-0.8.0-r0.apk                                   25-Oct-2024 20:05              370286
bkt-doc-0.8.0-r0.apk                               25-Oct-2024 20:05                7429
blackbox-1.20220610-r1.apk                         25-Oct-2024 20:05               16084
blip-0.10-r0.apk                                   25-Oct-2024 20:05               15662
blip-doc-0.10-r0.apk                               25-Oct-2024 20:05               30340
bochs-2.8-r1.apk                                   20-Feb-2025 18:48              959599
bochs-doc-2.8-r1.apk                               20-Feb-2025 18:48              142333
boinc-7.24.3-r0.apk                                25-Oct-2024 20:05             1599517
boinc-dev-7.24.3-r0.apk                            25-Oct-2024 20:05              595693
boinc-doc-7.24.3-r0.apk                            25-Oct-2024 20:05                8160
boinc-gui-7.24.3-r0.apk                            25-Oct-2024 20:05             1028681
boinc-lang-7.24.3-r0.apk                           25-Oct-2024 20:05              897975
boinc-libs-7.24.3-r0.apk                           25-Oct-2024 20:05              204483
boinc-screensaver-7.24.3-r0.apk                    25-Oct-2024 20:05              122070
bomctl-0.1.9-r11.apk                               04-Dec-2025 13:54             9442140
bomctl-bash-completion-0.1.9-r11.apk               04-Dec-2025 13:54                5263
bomctl-fish-completion-0.1.9-r11.apk               04-Dec-2025 13:54                4449
bomctl-zsh-completion-0.1.9-r11.apk                04-Dec-2025 13:54                4157
bonzomatic-20230615-r0.apk                         25-Oct-2024 20:05              634652
bootchart2-0.14.9-r1.apk                           17-Nov-2025 12:54              138937
bootchart2-systemd-0.14.9-r1.apk                   17-Nov-2025 12:54                2272
bootinfo-0.1.0-r4.apk                              25-Oct-2024 20:05               19898
bootinfo-pyc-0.1.0-r4.apk                          25-Oct-2024 20:05                8444
bootloose-0.7.1-r16.apk                            04-Dec-2025 13:54             2294097
bootterm-0.5-r0.apk                                25-Oct-2024 20:05               18207
bootterm-dbg-0.5-r0.apk                            25-Oct-2024 20:05                2354
bore-0.5.2-r0.apk                                  15-Dec-2024 19:18              585347
boson-0_git20211219-r0.apk                         25-Oct-2024 20:05               16248
botan2-2.19.5-r0.apk                               28-May-2025 21:37              397313
botan2-dev-2.19.5-r0.apk                           28-May-2025 21:37              318269
botan2-doc-2.19.5-r0.apk                           28-May-2025 21:37              313573
botan2-libs-2.19.5-r0.apk                          28-May-2025 21:37             2574382
boxes-2.3.1-r0.apk                                 25-Oct-2024 20:05               76873
boxes-doc-2.3.1-r0.apk                             25-Oct-2024 20:05                7282
brial-1.2.11-r4.apk                                25-Oct-2024 20:05             1058780
brial-dev-1.2.11-r4.apk                            25-Oct-2024 20:05             1813225
brltty-6.7-r1.apk                                  28-Mar-2025 16:15             2100159
brltty-dev-6.7-r1.apk                              28-Mar-2025 16:15              143445
brltty-doc-6.7-r1.apk                              28-Mar-2025 16:15                9613
brltty-lang-6.7-r1.apk                             28-Mar-2025 16:15              152253
brltty-static-6.7-r1.apk                           28-Mar-2025 16:15               24024
btpd-0.16-r2.apk                                   25-Oct-2024 20:05               71949
btpd-doc-0.16-r2.apk                               25-Oct-2024 20:05                8601
budgie-control-center-1.4.0-r0.apk                 16-Oct-2025 17:07             3073301
budgie-control-center-bash-completion-1.4.0-r0.apk 16-Oct-2025 17:07                2360
budgie-control-center-lang-1.4.0-r0.apk            16-Oct-2025 17:07             4106839
budgie-desktop-10.9.2-r0.apk                       16-Oct-2025 17:07             1310438
budgie-desktop-dev-10.9.2-r0.apk                   16-Oct-2025 17:07               18658
budgie-desktop-doc-10.9.2-r0.apk                   16-Oct-2025 17:07                5786
budgie-desktop-lang-10.9.2-r0.apk                  16-Oct-2025 17:07              599934
budgie-screensaver-5.1.0-r0.apk                    16-Oct-2025 17:07               73768
budgie-screensaver-doc-5.1.0-r0.apk                16-Oct-2025 17:07                3425
budgie-screensaver-lang-5.1.0-r0.apk               16-Oct-2025 17:07              245944
budgie-session-0.9.1-r0.apk                        16-Oct-2025 17:07              102431
budgie-session-doc-0.9.1-r0.apk                    16-Oct-2025 17:07                5415
budgie-session-lang-0.9.1-r0.apk                   16-Oct-2025 17:07              313974
bump2version-1.0.1-r6.apk                          25-Oct-2024 20:05               21350
bump2version-pyc-1.0.1-r6.apk                      25-Oct-2024 20:05               29807
burp-3.1.4-r0.apk                                  25-Oct-2024 20:05              168548
burp-doc-3.1.4-r0.apk                              25-Oct-2024 20:05              101797
burp-server-3.1.4-r0.apk                           25-Oct-2024 20:05               36928
butane-0.25.1-r2.apk                               04-Dec-2025 13:54             3320241
bwrap-oci-0.2-r1.apk                               25-Oct-2024 20:05               14846
bwrap-oci-doc-0.2-r1.apk                           25-Oct-2024 20:05                2549
bzmenu-0.2.1-r3.apk                                08-Aug-2025 23:39             1188151
caffeine-ng-4.2.0-r1.apk                           25-Oct-2024 20:05              102812
caffeine-ng-doc-4.2.0-r1.apk                       25-Oct-2024 20:05                3238
caffeine-ng-lang-4.2.0-r1.apk                      25-Oct-2024 20:05               35185
caja-gtkhash-plugin-1.5-r0.apk                     25-Oct-2024 20:05               24514
capnet-assist-8.0.0-r0.apk                         14-Apr-2025 10:07               44217
capnet-assist-lang-8.0.0-r0.apk                    14-Apr-2025 10:07               38140
caps2esc-0.3.2-r0.apk                              25-Oct-2024 20:05                4503
captive-browser-0_git20210801-r2.apk               04-Dec-2025 13:54             1271348
captive-browser-doc-0_git20210801-r2.apk           04-Dec-2025 13:54                3767
care-2.3.0-r1.apk                                  25-Oct-2024 20:05               90496
care-doc-2.3.0-r1.apk                              25-Oct-2024 20:05                8076
cargo-crev-0.26.3-r0.apk                           02-Mar-2025 16:15             6529804
cargo-geiger-0.13.0-r0.apk                         10-Oct-2025 17:34             5577743
cargo-geiger-doc-0.13.0-r0.apk                     10-Oct-2025 17:34                7863
cargo-generate-0.23.5-r0.apk                       04-Oct-2025 13:15             2509389
cargo-machete-0.9.1-r0.apk                         17-Aug-2025 18:48             1348732
cargo-machete-doc-0.9.1-r0.apk                     17-Aug-2025 18:48                4464
cargo-run-bin-1.7.2-r0.apk                         25-Oct-2024 20:05              456380
cargo-run-bin-doc-1.7.2-r0.apk                     25-Oct-2024 20:05                5163
cargo-show-asm-0.2.53-r0.apk                       30-Oct-2025 00:23              884307
cargo-show-asm-doc-0.2.53-r0.apk                   30-Oct-2025 00:23               10240
cargo-shuttle-0.56.6-r0.apk                        29-Jul-2025 07:57             5240978
cargo-shuttle-bash-completion-0.56.6-r0.apk        29-Jul-2025 07:57                5299
cargo-shuttle-doc-0.56.6-r0.apk                    29-Jul-2025 07:57                9208
cargo-shuttle-fish-completion-0.56.6-r0.apk        29-Jul-2025 07:57                9267
cargo-shuttle-zsh-completion-0.56.6-r0.apk         29-Jul-2025 07:57                8129
cargo-udeps-0.1.59-r0.apk                          03-Oct-2025 01:22             5173222
cargo-udeps-doc-0.1.59-r0.apk                      03-Oct-2025 01:22                7686
cargo-update-18.0.0-r0.apk                         28-Oct-2025 07:24             1208191
cargo-update-doc-18.0.0-r0.apk                     28-Oct-2025 07:24                8708
cargo-vendor-filterer-0.5.18-r0.apk                24-Jul-2025 17:29              662691
cartero-0.2.2-r0.apk                               12-Oct-2025 23:56             1208806
cartero-lang-0.2.2-r0.apk                          12-Oct-2025 23:56               45837
castero-0.9.5-r4.apk                               14-May-2025 21:13               51686
castero-pyc-0.9.5-r4.apk                           14-May-2025 21:13               96231
castor-0.9.0-r2.apk                                25-Oct-2024 20:05              731736
catdoc-0.95-r1.apk                                 25-Oct-2024 20:05              112368
catdoc-doc-0.95-r1.apk                             25-Oct-2024 20:05                9470
catfish-4.20.1-r0.apk                              07-Jul-2025 19:22              130858
catfish-doc-4.20.1-r0.apk                          07-Jul-2025 19:22               13596
catfish-lang-4.20.1-r0.apk                         07-Jul-2025 19:22              170666
catfish-pyc-4.20.1-r0.apk                          07-Jul-2025 19:22              106605
catppuccin-whiskers-2.5.1-r0.apk                   29-Nov-2025 19:00             1771569
catppuccin-whiskers-doc-2.5.1-r0.apk               29-Nov-2025 19:00                2305
cava-0.10.6-r0.apk                                 12-Sep-2025 08:10               48814
cc65-2.19-r0.apk                                   25-Oct-2024 20:05             9224968
ccrtp-2.1.2-r0.apk                                 25-Oct-2024 20:05               90465
ccrtp-dev-2.1.2-r0.apk                             25-Oct-2024 20:05               53975
ccrtp-doc-2.1.2-r0.apk                             25-Oct-2024 20:05               32016
ccze-0.2.1-r1.apk                                  25-Oct-2024 20:05               73394
ccze-dev-0.2.1-r1.apk                              25-Oct-2024 20:05                3402
ccze-doc-0.2.1-r1.apk                              25-Oct-2024 20:05                9050
cdba-1.0-r2.apk                                    25-Oct-2024 20:05                8054
cdba-server-1.0-r2.apk                             25-Oct-2024 20:05               20674
cddlib-0.94m-r2.apk                                25-Oct-2024 20:05              173264
cddlib-dev-0.94m-r2.apk                            25-Oct-2024 20:05               14411
cddlib-doc-0.94m-r2.apk                            25-Oct-2024 20:05              884440
cddlib-static-0.94m-r2.apk                         25-Oct-2024 20:05              240205
cddlib-tools-0.94m-r2.apk                          25-Oct-2024 20:05               35486
cdist-7.0.0-r6.apk                                 25-Oct-2024 20:05              523254
cdist-pyc-7.0.0-r6.apk                             25-Oct-2024 20:05              130643
cdogs-sdl-2.3.2-r0.apk                             09-Oct-2025 18:47            29271358
certbot-dns-hetzner-2.0.1-r1.apk                   07-Oct-2025 21:03               10388
certbot-dns-hetzner-pyc-2.0.1-r1.apk               07-Oct-2025 21:03                6613
certbot-dns-njalla-2.0.0-r0.apk                    27-Nov-2024 23:06                9521
certbot-dns-njalla-pyc-2.0.0-r0.apk                27-Nov-2024 23:06                4312
certbot-dns-pdns-0.1.1-r1.apk                      28-Aug-2025 01:19                8816
certbot-dns-pdns-pyc-0.1.1-r1.apk                  28-Aug-2025 01:19                3963
certigo-1.16.0-r28.apk                             04-Dec-2025 13:54             3908237
certstrap-1.3.0-r29.apk                            04-Dec-2025 13:54             2427564
cfssl-1.6.5-r10.apk                                04-Dec-2025 13:54            30470144
cgiirc-0.5.12-r1.apk                               25-Oct-2024 20:06              135182
cgo-0.6.1-r1.apk                                   25-Oct-2024 20:06               10428
cgo-doc-0.6.1-r1.apk                               25-Oct-2024 20:06                4212
charls-2.4.2-r0.apk                                25-Oct-2024 20:06               62523
charls-dev-2.4.2-r0.apk                            25-Oct-2024 20:06               27226
charta-0.8.2-r1.apk                                04-Dec-2025 13:54             2099374
chasquid-1.17.0-r0.apk                             19-Dec-2025 15:57            11702266
chasquid-doc-1.17.0-r0.apk                         19-Dec-2025 15:57               11123
chasquid-openrc-1.17.0-r0.apk                      19-Dec-2025 15:57                2007
checkpolicy-3.6-r0.apk                             25-Oct-2024 20:06              377531
checkpolicy-doc-3.6-r0.apk                         25-Oct-2024 20:06                4246
cherrytree-1.4.0-r0.apk                            26-Mar-2025 17:40             2735690
cherrytree-doc-1.4.0-r0.apk                        26-Mar-2025 17:40                2153
cherrytree-lang-1.4.0-r0.apk                       26-Mar-2025 17:40              879166
chess-tui-2.0.0-r0.apk                             13-Dec-2025 01:11             1467675
chess-tui-doc-2.0.0-r0.apk                         13-Dec-2025 01:11                2294
chim-1.1.2-r1.apk                                  25-Oct-2024 20:06             1632753
chim-doc-1.1.2-r1.apk                              25-Oct-2024 20:06                2880
chimerautils-15.0.2-r0.apk                         08-Dec-2025 17:20             1250555
chimerautils-dbg-15.0.2-r0.apk                     08-Dec-2025 17:20             3251845
chocolate-doom-3.1.1-r0.apk                        19-Aug-2025 01:30             1648193
chocolate-doom-doc-3.1.1-r0.apk                    19-Aug-2025 01:30              238190
cilium-cli-0.16.13-r10.apk                         04-Dec-2025 13:54            56482865
cilium-cli-bash-completion-0.16.13-r10.apk         04-Dec-2025 13:54                5187
cilium-cli-fish-completion-0.16.13-r10.apk         04-Dec-2025 13:54                4430
cilium-cli-zsh-completion-0.16.13-r10.apk          04-Dec-2025 13:54                4143
cimg-3.4.1-r0.apk                                  25-Oct-2024 20:06              845800
circuslinux-1.0.3-r1.apk                           25-Oct-2024 20:06               20032
circuslinux-data-1.0.3-r1.apk                      25-Oct-2024 20:06             1181487
circuslinux-doc-1.0.3-r1.apk                       25-Oct-2024 20:06               18402
ckb-next-0.6.2-r1.apk                              05-Sep-2025 11:51             1393214
ckb-next-daemon-0.6.2-r1.apk                       05-Sep-2025 11:51               79069
ckb-next-daemon-openrc-0.6.2-r1.apk                05-Sep-2025 11:51                1883
ckb-next-dev-0.6.2-r1.apk                          05-Sep-2025 11:51                5051
clatd-1.6-r0.apk                                   25-Oct-2024 20:06               12912
clementine-1.4.1_git20250503-r0.apk                12-Jun-2025 12:08             6236947
clevis-21-r0.apk                                   25-Jan-2025 07:03               52093
clevis-bash-completion-21-r0.apk                   25-Jan-2025 07:03                2088
clevis-dbg-21-r0.apk                               25-Jan-2025 07:03               63537
clevis-doc-21-r0.apk                               25-Jan-2025 07:03               23728
clevis-extra-pins-0_git20230629-r0.apk             25-Oct-2024 20:06                4745
click-0.5.2-r4.apk                                 17-Feb-2025 12:07              158933
click-dev-0.5.2-r4.apk                             17-Feb-2025 12:07                9347
click-doc-0.5.2-r4.apk                             17-Feb-2025 12:07                3387
click-pyc-0.5.2-r4.apk                             17-Feb-2025 12:07              178969
clinfo-3.0.23.01.25-r0.apk                         25-Oct-2024 20:06               45941
clinfo-doc-3.0.23.01.25-r0.apk                     25-Oct-2024 20:06                6628
cliphist-0.7.0-r1.apk                              04-Dec-2025 13:54             1025627
cliphist-fzf-0.7.0-r1.apk                          04-Dec-2025 13:54                1841
clipit-1.4.5-r3.apk                                25-Oct-2024 20:06               66559
clipit-doc-1.4.5-r3.apk                            25-Oct-2024 20:06                2443
cliquer-1.23-r0.apk                                12-Aug-2025 04:15                7220
cliquer-dev-1.23-r0.apk                            12-Aug-2025 04:15                7682
cliquer-libs-1.23-r0.apk                           12-Aug-2025 04:15               23395
cliquer-static-1.23-r0.apk                         12-Aug-2025 04:15               25739
cliquer-tests-1.23-r0.apk                          12-Aug-2025 04:15               24574
cln-1.3.7-r1.apk                                   25-May-2025 07:49              455230
cln-dev-1.3.7-r1.apk                               25-May-2025 07:49             1246622
cln-doc-1.3.7-r1.apk                               25-May-2025 07:49               79200
cloudflared-2025.11.1-r11.apk                      01-Jan-2026 02:44             9818694
cloudflared-doc-2025.11.1-r11.apk                  01-Jan-2026 02:44                1956
cloudflared-openrc-2025.11.1-r11.apk               01-Jan-2026 02:44                1833
cloudfoundry-cli-8.7.9-r14.apk                     04-Dec-2025 13:54             9237343
cluster-glue-1.0.12-r5.apk                         25-Oct-2024 20:06              263495
cluster-glue-dev-1.0.12-r5.apk                     25-Oct-2024 20:06             1058511
cluster-glue-doc-1.0.12-r5.apk                     25-Oct-2024 20:06               33722
cluster-glue-libs-1.0.12-r5.apk                    25-Oct-2024 20:06              116603
cmusfm-0.5.0-r1.apk                                27-Aug-2025 04:13               15139
cobang-1.8.1-r0.apk                                23-Oct-2025 05:12               41818
cobang-lang-1.8.1-r0.apk                           23-Oct-2025 05:12               10382
coccinelle-1.1.1-r2.apk                            25-Oct-2024 20:06             7100076
coccinelle-bash-completion-1.1.1-r2.apk            25-Oct-2024 20:06                2920
coccinelle-doc-1.1.1-r2.apk                        25-Oct-2024 20:06               16356
cocogitto-6.5.0-r0.apk                             02-Nov-2025 18:21             1954099
cocogitto-bash-completion-6.5.0-r0.apk             02-Nov-2025 18:21                3247
cocogitto-doc-6.5.0-r0.apk                         02-Nov-2025 18:21               40449
cocogitto-fish-completion-6.5.0-r0.apk             02-Nov-2025 18:21                3844
cocogitto-zsh-completion-6.5.0-r0.apk              02-Nov-2025 18:21                3246
code-minimap-0.6.7-r0.apk                          12-Dec-2024 19:36              374693
code-minimap-doc-0.6.7-r0.apk                      12-Dec-2024 19:36                8182
codec2-1.2.0-r1.apk                                22-Nov-2025 18:51              681963
codec2-dev-1.2.0-r1.apk                            22-Nov-2025 18:51               15781
colormake-0.9.20170221-r0.apk                      25-Oct-2024 20:06                4130
colormake-doc-0.9.20170221-r0.apk                  25-Oct-2024 20:06                2729
colorpicker-0_git20201128-r1.apk                   25-Oct-2024 20:06                4257
comet-0.3.1-r0.apk                                 29-Nov-2025 19:49             2945177
comics-downloader-0.33.8-r15.apk                   04-Dec-2025 13:54             3962918
comics-downloader-gui-0.33.8-r15.apk               04-Dec-2025 13:54             5760435
commit-lsp-0.1.0-r0.apk                            14-May-2025 21:13             2226855
commoncpp-7.0.1-r1.apk                             25-Oct-2024 20:06              249712
commoncpp-dev-7.0.1-r1.apk                         25-Oct-2024 20:06              177179
commoncpp-doc-7.0.1-r1.apk                         25-Oct-2024 20:06               15185
commoncpp-tools-7.0.1-r1.apk                       25-Oct-2024 20:06               38994
compiz-0.9.14.2-r13.apk                            29-Nov-2025 00:00             5768335
compiz-dev-0.9.14.2-r13.apk                        29-Nov-2025 00:00              120046
compiz-lang-0.9.14.2-r13.apk                       29-Nov-2025 00:00             1265462
compiz-pyc-0.9.14.2-r13.apk                        29-Nov-2025 00:00              114111
compiz-utils-0.9.14.2-r13.apk                      29-Nov-2025 00:00                3417
comrak-0.49.0-r0.apk                               14-Dec-2025 14:23             1055165
comrak-doc-0.49.0-r0.apk                           14-Dec-2025 14:23               10900
conntracct-0.2.7-r36.apk                           04-Dec-2025 13:54             5242577
conntracct-openrc-0.2.7-r36.apk                    04-Dec-2025 13:54                1965
conserver-8.2.7-r0.apk                             18-Dec-2025 17:44              135556
conserver-doc-8.2.7-r0.apk                         18-Dec-2025 17:44               28344
conserver-openrc-8.2.7-r0.apk                      18-Dec-2025 17:44                1711
console_bridge-1.0.2-r0.apk                        25-Oct-2024 20:06                9396
console_bridge-dev-1.0.2-r0.apk                    25-Oct-2024 20:06                4843
consul-replicate-0.4.0-r36.apk                     04-Dec-2025 13:54             3050289
contractor-0.3.5-r0.apk                            12-Nov-2024 21:54               24825
convert2json-2.4.1-r0.apk                          15-Dec-2025 16:19                1358
convert2json-bson-2.4.1-r0.apk                     15-Dec-2025 16:19                1311
convert2json-bson-jaq-2.4.1-r0.apk                 15-Dec-2025 16:19              267690
convert2json-bson-json-2.4.1-r0.apk                15-Dec-2025 16:19              259895
convert2json-cbor-2.4.1-r0.apk                     15-Dec-2025 16:19                1317
convert2json-cbor-jaq-2.4.1-r0.apk                 15-Dec-2025 16:19              231063
convert2json-cbor-json-2.4.1-r0.apk                15-Dec-2025 16:19              222370
convert2json-csv-2.4.1-r0.apk                      15-Dec-2025 16:19                1315
convert2json-csv-jaq-2.4.1-r0.apk                  15-Dec-2025 16:19              249556
convert2json-csv-json-2.4.1-r0.apk                 15-Dec-2025 16:19              241075
convert2json-doc-2.4.1-r0.apk                      15-Dec-2025 16:19               13713
convert2json-ini-2.4.1-r0.apk                      15-Dec-2025 16:19                1313
convert2json-ini-jaq-2.4.1-r0.apk                  15-Dec-2025 16:19              210372
convert2json-ini-json-2.4.1-r0.apk                 15-Dec-2025 16:19              201297
convert2json-jaq-2.4.1-r0.apk                      15-Dec-2025 16:19                1399
convert2json-json-2.4.1-r0.apk                     15-Dec-2025 16:19                1378
convert2json-messagepack-2.4.1-r0.apk              15-Dec-2025 16:19                1320
convert2json-messagepack-jaq-2.4.1-r0.apk          15-Dec-2025 16:19              226756
convert2json-messagepack-json-2.4.1-r0.apk         15-Dec-2025 16:19              218558
convert2json-plist-2.4.1-r0.apk                    15-Dec-2025 16:19                1316
convert2json-plist-jaq-2.4.1-r0.apk                15-Dec-2025 16:19              270091
convert2json-plist-json-2.4.1-r0.apk               15-Dec-2025 16:19              261927
convert2json-rsv-2.4.1-r0.apk                      15-Dec-2025 16:19                1316
convert2json-rsv-jaq-2.4.1-r0.apk                  15-Dec-2025 16:19              187724
convert2json-rsv-json-2.4.1-r0.apk                 15-Dec-2025 16:19              178027
convert2json-toml-2.4.1-r0.apk                     15-Dec-2025 16:19                1316
convert2json-toml-jaq-2.4.1-r0.apk                 15-Dec-2025 16:19              269274
convert2json-toml-json-2.4.1-r0.apk                15-Dec-2025 16:19              260827
convert2json-xml-2.4.1-r0.apk                      15-Dec-2025 16:19                1316
convert2json-xml-jaq-2.4.1-r0.apk                  15-Dec-2025 16:19              223786
convert2json-xml-json-2.4.1-r0.apk                 15-Dec-2025 16:19              215709
convert2json-yaml-2.4.1-r0.apk                     15-Dec-2025 16:19                1315
convert2json-yaml-jaq-2.4.1-r0.apk                 15-Dec-2025 16:19              297787
convert2json-yaml-json-2.4.1-r0.apk                15-Dec-2025 16:19              289432
corosync-3.1.10-r0.apk                             27-Dec-2025 10:37              270911
corosync-dev-3.1.10-r0.apk                         27-Dec-2025 10:37              439656
corosync-doc-3.1.10-r0.apk                         27-Dec-2025 10:37              195163
corosync-openrc-3.1.10-r0.apk                      27-Dec-2025 10:37                1823
cortex-tenant-1.15.7-r2.apk                        04-Dec-2025 13:54             4375691
cortex-tenant-openrc-1.15.7-r2.apk                 04-Dec-2025 13:54                2094
cowsay-3.04-r2.apk                                 25-Oct-2024 20:06               18815
cowsay-doc-3.04-r2.apk                             25-Oct-2024 20:06                4096
cpdf-2.8.1-r0.apk                                  14-May-2025 21:13             2151773
cpdf-doc-2.8.1-r0.apk                              14-May-2025 21:13              571403
cpiped-0.1.0-r0.apk                                25-Oct-2024 20:06                6946
cpp-httplib-0.29.0-r0.apk                          29-Dec-2025 14:03               97722
cpp-httplib-doc-0.29.0-r0.apk                      29-Dec-2025 14:03               14228
cpplint-2.0.2-r0.apk                               13-Apr-2025 23:28               82222
cpplint-pyc-2.0.2-r0.apk                           13-Apr-2025 23:28              101876
cpuburn-1.4a_git20160316-r2.apk                    25-Oct-2024 20:06               11033
cpufetch-1.07-r0.apk                               01-Nov-2025 10:01               49244
cpufetch-doc-1.07-r0.apk                           01-Nov-2025 10:01                3258
crazydiskinfo-1.1.0-r1.apk                         25-Oct-2024 20:06               30277
createrepo_c-1.1.4-r1.apk                          30-Dec-2025 20:57               46725
createrepo_c-bash-completion-1.1.4-r1.apk          30-Dec-2025 20:57                2958
createrepo_c-dev-1.1.4-r1.apk                      30-Dec-2025 20:57               31307
createrepo_c-doc-1.1.4-r1.apk                      30-Dec-2025 20:57                8814
createrepo_c-libs-1.1.4-r1.apk                     30-Dec-2025 20:57               92405
crispy-doom-7.1-r0.apk                             24-Sep-2025 02:49             1867708
crispy-doom-doc-7.1-r0.apk                         24-Sep-2025 02:49              109963
crossplane-0.5.8-r3.apk                            25-Oct-2024 20:06               30929
crossplane-pyc-0.5.8-r3.apk                        25-Oct-2024 20:06               40189
crowdsec-1.7.4-r0.apk                              05-Dec-2025 01:55            41122254
crowdsec-email-plugin-1.7.4-r0.apk                 05-Dec-2025 01:55             6429806
crowdsec-file-plugin-1.7.4-r0.apk                  05-Dec-2025 01:55             6386201
crowdsec-http-plugin-1.7.4-r0.apk                  05-Dec-2025 01:55             6384288
crowdsec-openrc-1.7.4-r0.apk                       05-Dec-2025 01:55                1841
crowdsec-sentinel-plugin-1.7.4-r0.apk              05-Dec-2025 01:55             6381131
crowdsec-slack-plugin-1.7.4-r0.apk                 05-Dec-2025 01:55             6424795
crowdsec-splunk-plugin-1.7.4-r0.apk                05-Dec-2025 01:55             6380421
crun-vm-0.3.0-r0.apk                               12-Nov-2024 11:34             1169582
crun-vm-doc-0.3.0-r0.apk                           12-Nov-2024 11:34               13200
cscope-15.9-r1.apk                                 25-Oct-2024 20:06              156255
cscope-doc-15.9-r1.apk                             25-Oct-2024 20:06                7672
csfml-2.5.2-r0.apk                                 25-Oct-2024 20:06               90966
csfml-dev-2.5.2-r0.apk                             25-Oct-2024 20:06               79033
csfml-doc-2.5.2-r0.apk                             25-Oct-2024 20:06              208881
csmith-2.3.0-r2.apk                                25-Oct-2024 20:06              307884
csmith-doc-2.3.0-r2.apk                            25-Oct-2024 20:06                3146
csol-1.6.0-r0.apk                                  25-Oct-2024 20:06               36501
csol-doc-1.6.0-r0.apk                              25-Oct-2024 20:06                3942
ctorrent-dnh-3.3.2-r2.apk                          25-Oct-2024 20:06               91529
cups-pdf-3.0.2-r0.apk                              04-Jul-2025 21:11               22099
curlftpfs-0.9.2-r3.apk                             25-Oct-2024 20:06               26183
curlftpfs-doc-0.9.2-r3.apk                         25-Oct-2024 20:06                6266
curtail-1.13.0-r0.apk                              05-Jul-2025 20:39               31086
curtail-lang-1.13.0-r0.apk                         05-Jul-2025 20:39               79384
cutechess-1.3.1-r0.apk                             25-Oct-2024 20:06             1101920
cutechess-cli-1.3.1-r0.apk                         25-Oct-2024 20:06              336672
cutechess-cli-doc-1.3.1-r0.apk                     25-Oct-2024 20:06                6713
cutechess-doc-1.3.1-r0.apk                         25-Oct-2024 20:06                3629
cvise-2.11.0-r0.apk                                08-Mar-2025 13:13             4783177
cvise-pyc-2.11.0-r0.apk                            08-Mar-2025 13:13               61137
cvs-fast-export-1.65-r0.apk                        25-Oct-2024 20:06               48732
cvs-fast-export-doc-1.65-r0.apk                    25-Oct-2024 20:06               17847
cvs-fast-export-tools-1.65-r0.apk                  25-Oct-2024 20:06                8850
cyrus-sasl-xoauth2-0.2-r1.apk                      25-Oct-2024 20:06                6400
cyrus-sasl-xoauth2-doc-0.2-r1.apk                  25-Oct-2024 20:06                2331
cyrus-sasl-xoauth2-static-0.2-r1.apk               25-Oct-2024 20:06                6879
cz-viator-hourglass-black-20210706-r0.apk          25-Oct-2024 20:06              224306
daemontools-0.76-r3.apk                            25-Oct-2024 20:06               51975
daemontools-openrc-0.76-r3.apk                     25-Oct-2024 20:06                2007
daktilo-0.6.0-r0.apk                               25-Oct-2024 20:06             1882633
daktilo-bash-completion-0.6.0-r0.apk               25-Oct-2024 20:06                2216
daktilo-doc-0.6.0-r0.apk                           25-Oct-2024 20:06                8872
daktilo-fish-completion-0.6.0-r0.apk               25-Oct-2024 20:06                1982
daktilo-zsh-completion-0.6.0-r0.apk                25-Oct-2024 20:06                2320
dam-0_git20250728-r0.apk                           22-Dec-2025 21:22               13745
dam-doc-0_git20250728-r0.apk                       22-Dec-2025 21:22                2348
darkreader-4.9.110-r0.apk                          21-Aug-2025 08:58              785827
darts-clone-0.32h-r0.apk                           06-Jul-2025 07:09               38265
darts-clone-dev-0.32h-r0.apk                       06-Jul-2025 07:09               13508
dasht-2.4.0-r0.apk                                 25-Oct-2024 20:06               14556
dasht-doc-2.4.0-r0.apk                             25-Oct-2024 20:06               11655
dasht-zsh-completion-2.4.0-r0.apk                  25-Oct-2024 20:06                2111
davmail-6.5.1-r0.apk                               14-Nov-2025 21:17             8720593
dbmate-2.28.0-r1.apk                               04-Dec-2025 13:54            11140310
dbmate-doc-2.28.0-r1.apk                           04-Dec-2025 13:54                2319
dbus-broker-37-r0.apk                              17-Jun-2025 10:17               86680
dbus-broker-doc-37-r0.apk                          17-Jun-2025 10:17                6013
dcmtk-3.7.0-r0.apk                                 28-Dec-2025 20:54             1294792
dcmtk-dev-3.7.0-r0.apk                             28-Dec-2025 20:54             1740309
dcmtk-doc-3.7.0-r0.apk                             28-Dec-2025 20:54              264421
dcmtk-openrc-3.7.0-r0.apk                          28-Dec-2025 20:54                1789
dcnnt-0.10.0-r1.apk                                25-Oct-2024 20:06               28449
dcnnt-doc-0.10.0-r1.apk                            25-Oct-2024 20:06                6748
dcnnt-pyc-0.10.0-r1.apk                            25-Oct-2024 20:06               63150
ddcci-driver-linux-src-0.4.5-r2.apk                19-Mar-2025 11:44               19573
ddgr-2.2-r0.apk                                    25-Oct-2024 20:06               20554
ddgr-bash-completion-2.2-r0.apk                    25-Oct-2024 20:06                2253
ddgr-doc-2.2-r0.apk                                25-Oct-2024 20:06               11799
ddgr-fish-completion-2.2-r0.apk                    25-Oct-2024 20:06                2335
ddgr-zsh-completion-2.2-r0.apk                     25-Oct-2024 20:06                2737
ddserver-0_git20200930-r1.apk                      25-Oct-2024 20:06               11499
deadbeef-soxr-20180801-r0.apk                      25-Oct-2024 20:06                5462
debconf-1.5.82-r0.apk                              25-Oct-2024 20:06               71071
debconf-bash-completion-1.5.82-r0.apk              25-Oct-2024 20:06                1901
debconf-doc-1.5.82-r0.apk                          25-Oct-2024 20:06               27446
debconf-lang-1.5.82-r0.apk                         25-Oct-2024 20:06              135606
debconf-utils-1.5.82-r0.apk                        25-Oct-2024 20:06                6822
decoder-0.7.0-r0.apk                               10-Apr-2025 13:22             2121601
decoder-lang-0.7.0-r0.apk                          10-Apr-2025 13:22               60382
dehydrated-0.7.1-r0.apk                            25-Oct-2024 20:06               27074
desed-1.2.1-r1.apk                                 25-Oct-2024 20:06              410020
desed-doc-1.2.1-r1.apk                             25-Oct-2024 20:06                2952
desync-0.9.6-r10.apk                               04-Dec-2025 13:54             7839982
detox-2.0.0-r0.apk                                 25-Oct-2024 20:06              113989
detox-doc-2.0.0-r0.apk                             25-Oct-2024 20:06               21210
deviced-0_git20250427-r0.apk                       05-Jul-2025 20:03              117726
deviced-dev-0_git20250427-r0.apk                   05-Jul-2025 20:03               26712
deviced-openrc-0_git20250427-r0.apk                05-Jul-2025 20:03                1743
devil-1.8.0-r0.apk                                 25-Oct-2024 20:06              233676
devil-dev-1.8.0-r0.apk                             25-Oct-2024 20:06               13185
dewduct-0.2.3-r0.apk                               25-Oct-2024 20:06             1156382
dfl-applications-0.3.0-r0.apk                      21-Aug-2025 07:47               64024
dfl-applications-dev-0.3.0-r0.apk                  21-Aug-2025 07:47                4021
dfl-ipc-0.3.0-r0.apk                               21-Aug-2025 07:47               47288
dfl-ipc-dev-0.3.0-r0.apk                           21-Aug-2025 07:47                4903
dfl-login1-0.3.0-r0.apk                            21-Aug-2025 07:47               33864
dfl-login1-dev-0.3.0-r0.apk                        21-Aug-2025 07:47                3785
dfl-sni-0.3.0-r0.apk                               21-Aug-2025 07:47               58718
dfl-sni-dev-0.3.0-r0.apk                           21-Aug-2025 07:47                5073
dfu-programmer-1.1.0-r0.apk                        25-Oct-2024 20:06               37952
dfu-programmer-bash-completion-1.1.0-r0.apk        25-Oct-2024 20:06                2827
dfu-programmer-doc-1.1.0-r0.apk                    25-Oct-2024 20:06                5874
dhewm3-1.5.4-r0.apk                                17-Feb-2025 12:07             5199476
diceware-1.0.1-r0.apk                              13-Jan-2025 22:49              342037
diceware-pyc-1.0.1-r0.apk                          13-Jan-2025 22:49               18488
disfetch-3.7-r0.apk                                25-Oct-2024 20:06                8493
diskus-0.8.0-r0.apk                                18-May-2025 22:15              366158
dislocker-0.7.3-r6.apk                             24-Jul-2025 01:27               12129
dislocker-doc-0.7.3-r6.apk                         24-Jul-2025 01:27                6164
dislocker-libs-0.7.3-r6.apk                        24-Jul-2025 01:27               45795
distroshelf-1.3.0-r0.apk                           27-Dec-2025 15:43             3171179
distroshelf-lang-1.3.0-r0.apk                      27-Dec-2025 15:43               38307
dive-0.13.0-r7.apk                                 04-Dec-2025 13:54             4057092
dlib-19.24.4-r0.apk                                25-Oct-2024 20:06              773319
dlib-dev-19.24.4-r0.apk                            25-Oct-2024 20:06             2546819
dmarc-cat-0.15.0-r10.apk                           04-Dec-2025 13:54             2917982
dmarc-metrics-exporter-1.2.0-r0.apk                29-Nov-2024 22:04               25880
dmarc-metrics-exporter-openrc-1.2.0-r0.apk         29-Nov-2024 22:04                1898
dmarc-metrics-exporter-pyc-1.2.0-r0.apk            29-Nov-2024 22:04               47577
dmenu-wl-0.1-r0.apk                                02-Jul-2025 10:32               16582
dmenu-wl-doc-0.1-r0.apk                            02-Jul-2025 10:32                4183
dnscontrol-4.29.0-r0.apk                           18-Dec-2025 21:13            17223675
dnscontrol-doc-4.29.0-r0.apk                       18-Dec-2025 21:13                2346
dnscrypt-wrapper-0.4.2-r3.apk                      25-Oct-2024 20:06               29929
dnsenum-1.3.2-r0.apk                               25-Oct-2024 20:06               21738
dnsenum-doc-1.3.2-r0.apk                           25-Oct-2024 20:06                5365
dnsperf-2.14.0-r0.apk                              25-Oct-2024 20:06               80881
dnsperf-doc-2.14.0-r0.apk                          25-Oct-2024 20:06               35758
dnssec-tools-2.2.3-r13.apk                         30-Jun-2025 07:14              761357
dnssec-tools-dev-2.2.3-r13.apk                     30-Jun-2025 07:14              185228
dnssec-tools-doc-2.2.3-r13.apk                     30-Jun-2025 07:14              324629
doasedit-1.0.9-r0.apk                              31-Oct-2025 11:58                3543
docker-volume-local-persist-1.3.0-r38.apk          04-Dec-2025 13:54             2692141
docker-volume-local-persist-openrc-1.3.0-r38.apk   04-Dec-2025 13:54                1830
dockerize-0.9.6-r2.apk                             04-Dec-2025 13:54             3560260
dooit-3.3.3-r0.apk                                 27-Oct-2025 08:13               47005
dooit-extras-0.2.0-r0.apk                          07-Dec-2024 20:22               13038
dooit-extras-pyc-0.2.0-r0.apk                      07-Dec-2024 20:22               23338
dooit-pyc-3.3.3-r0.apk                             27-Oct-2025 08:13              105627
dotenv-linter-4.0.0-r0.apk                         22-Nov-2025 16:03             1365696
downloader-cli-0.3.4-r2.apk                        14-May-2025 21:13                2024
draco-1.5.7-r2.apk                                 17-Feb-2025 12:07              798623
draco-dev-1.5.7-r2.apk                             17-Feb-2025 12:07              209623
draco-static-1.5.7-r2.apk                          17-Feb-2025 12:07             1712431
draco-tools-1.5.7-r2.apk                           17-Feb-2025 12:07             1239762
draw-0.1.1-r18.apk                                 04-Dec-2025 13:54             1036147
drogon-1.9.4-r2.apk                                22-May-2025 06:53             1476743
drogon-dev-1.9.4-r2.apk                            22-May-2025 06:53              124260
drogon-doc-1.9.4-r2.apk                            22-May-2025 06:53                2324
droidcam-2.1.3-r3.apk                              27-Sep-2025 13:41               18621
droidcam-gui-2.1.3-r3.apk                          27-Sep-2025 13:41               28006
drone-cli-1.8.0-r15.apk                            04-Dec-2025 13:54             5855364
dropwatch-1.5.5-r2.apk                             04-Dec-2025 13:54               15537
dropwatch-doc-1.5.5-r2.apk                         04-Dec-2025 13:54                3794
drumgizmo-0.9.20-r1.apk                            25-Oct-2024 20:06              388338
drupal7-7.103-r0.apk                               04-Dec-2024 17:28             3443839
drupal7-doc-7.103-r0.apk                           04-Dec-2024 17:28               58840
dsp-2.0-r2.apk                                     27-Sep-2025 13:41              156461
dsp-doc-2.0-r2.apk                                 27-Sep-2025 13:41               10375
dstask-0.27-r4.apk                                 04-Dec-2025 13:54             1581610
dstask-bash-completion-0.27-r4.apk                 04-Dec-2025 13:54                2246
dstask-fish-completion-0.27-r4.apk                 04-Dec-2025 13:54                1715
dstask-import-0.27-r4.apk                          04-Dec-2025 13:54             3671010
dstask-zsh-completion-0.27-r4.apk                  04-Dec-2025 13:54                1702
dublin-traceroute-0.4.2-r4.apk                     25-Oct-2024 20:06               45781
dublin-traceroute-contrib-0.4.2-r4.apk             25-Oct-2024 20:06                2930
dublin-traceroute-dev-0.4.2-r4.apk                 25-Oct-2024 20:06                7055
dublin-traceroute-doc-0.4.2-r4.apk                 25-Oct-2024 20:06                2357
duc-1.4.5-r0.apk                                   25-Oct-2024 20:06               88407
duc-doc-1.4.5-r0.apk                               25-Oct-2024 20:06                9278
duf-0.9.1-r2.apk                                   04-Dec-2025 13:54             1264600
duf-doc-0.9.1-r2.apk                               04-Dec-2025 13:54                4833
dulcepan-1.0.2-r0.apk                              25-Oct-2024 20:06               20239
dum-0.1.20-r1.apk                                  29-Mar-2025 14:14              365215
dune-deps-1.3.0-r2.apk                             25-Oct-2024 20:06              814924
dustracing2d-2.1.1-r1.apk                          25-Oct-2024 20:06             5335088
dvdbackup-0.4.2-r1.apk                             25-Oct-2024 20:06               17494
dvdbackup-doc-0.4.2-r1.apk                         25-Oct-2024 20:06                7763
dvdbackup-lang-0.4.2-r1.apk                        25-Oct-2024 20:06                1460
dvisvgm-3.4.4-r0.apk                               18-May-2025 22:44             1107499
dvisvgm-doc-3.4.4-r0.apk                           18-May-2025 22:44               26706
dwl-0.7-r0.apk                                     25-Oct-2024 20:06               26288
dwl-doc-0.7-r0.apk                                 25-Oct-2024 20:06                3202
e16-1.0.30-r0.apk                                  05-Nov-2024 13:22              791788
e16-doc-1.0.30-r0.apk                              05-Nov-2024 13:22               27903
e16-lang-1.0.30-r0.apk                             05-Nov-2024 13:22              388741
eatmemory-0.1.6-r2.apk                             25-Oct-2024 20:06                4326
ecasound-2.9.3-r4.apk                              25-Feb-2025 10:17              668447
ecasound-dev-2.9.3-r4.apk                          25-Feb-2025 10:17             1224008
ecasound-doc-2.9.3-r4.apk                          25-Feb-2025 10:17               39374
ecos-2.0.10-r0.apk                                 25-Oct-2024 20:06               38843
ecos-dev-2.0.10-r0.apk                             25-Oct-2024 20:06               28592
edit-1.2.1-r0.apk                                  16-Oct-2025 13:17              262317
edit-doc-1.2.1-r0.apk                              16-Oct-2025 13:17                2301
edward-1.1.0-r0.apk                                25-Oct-2024 20:06             1946732
edward-doc-1.1.0-r0.apk                            25-Oct-2024 20:06                5400
efl-1.28.1-r2.apk                                  23-Mar-2025 15:03            35542235
efl-dev-1.28.1-r2.apk                              23-Mar-2025 15:03             1908933
efl-gdb-1.28.1-r2.apk                              23-Mar-2025 15:03                1730
eiwd-3.10-r0.apk                                   07-Oct-2025 21:03              808880
eiwd-doc-3.10-r0.apk                               07-Oct-2025 21:03               21004
eiwd-openrc-3.10-r0.apk                            07-Oct-2025 21:03                1925
elementary-calculator-8.0.1-r0.apk                 02-Sep-2025 02:29               71439
elementary-calculator-lang-8.0.1-r0.apk            02-Sep-2025 02:29               60925
elementary-camera-8.0.2-r0.apk                     02-Sep-2025 02:25               86775
elementary-camera-lang-8.0.2-r0.apk                02-Sep-2025 02:25               36015
elementary-dock-8.0.2-r0.apk                       24-May-2025 22:57               85647
elementary-dock-lang-8.0.2-r0.apk                  24-May-2025 22:57               28217
elementary-feedback-8.1.0-r0.apk                   22-Nov-2025 15:38               46687
elementary-feedback-lang-8.1.0-r0.apk              22-Nov-2025 15:38               49641
elementary-icon-theme-8.1.0-r0.apk                 14-May-2025 21:13             5293675
elementary-music-8.0.0-r0.apk                      28-Oct-2024 22:05               73161
elementary-music-lang-8.0.0-r0.apk                 28-Oct-2024 22:05               48624
elementary-photos-8.0.1-r0.apk                     02-Dec-2024 22:59             1155386
elementary-photos-lang-8.0.1-r0.apk                02-Dec-2024 22:59             1052065
elementary-settings-daemon-8.3.0-r0.apk            15-Jun-2025 04:01               78937
elementary-settings-daemon-lang-8.3.0-r0.apk       15-Jun-2025 04:01               75806
elementary-settings-daemon-openrc-8.3.0-r0.apk     15-Jun-2025 04:01                1840
elementary-sound-theme-1.1.0-r0.apk                10-Nov-2024 22:07               85067
elementary-theme-8.1.0-r0.apk                      12-Jan-2025 20:36             1571154
elementary-videos-8.0.2-r0.apk                     02-Sep-2025 02:28              113351
elementary-videos-lang-8.0.2-r0.apk                02-Sep-2025 02:28               85414
elf_diff-0.7.1-r3.apk                              25-Oct-2024 20:06              110876
elf_diff-pyc-0.7.1-r3.apk                          25-Oct-2024 20:06              110557
eludris-0.3.3-r1.apk                               25-Oct-2024 20:06             1810588
eludris-doc-0.3.3-r1.apk                           25-Oct-2024 20:06                2345
emacs-ace-window-0.10.0_git20220911-r0.apk         25-Oct-2024 20:06               23299
emacs-avy-0.5.0_git20230420-r0.apk                 25-Oct-2024 20:06               44461
emacs-avy-embark-collect-1.1-r0.apk                23-Apr-2025 05:42                3914
emacs-centaur-tabs-3.2_git20230601-r0.apk          25-Oct-2024 20:06               56374
emacs-closql-1.2.1_git20240712-r0.apk              25-Oct-2024 20:06               14714
emacs-company-1.0.2-r0.apk                         12-Dec-2025 10:06              163220
emacs-company-wubi-0_git20161031-r0.apk            12-Dec-2025 10:06             1149528
emacs-consult-1.4_git20240405-r0.apk               25-Oct-2024 20:06              141063
emacs-derl-0_git20231004-r1.apk                    29-Jul-2025 04:35               24004
emacs-elfeed-3.4.2-r0.apk                          23-Apr-2025 05:13               92728
emacs-emacsql-3.1.1_git20240714-r0.apk             25-Oct-2024 20:06               23138
emacs-emacsql-mysql-3.1.1_git20240714-r0.apk       25-Oct-2024 20:06                6206
emacs-emacsql-psql-3.1.1_git20240714-r0.apk        25-Oct-2024 20:06                5991
emacs-emacsql-sqlite-3.1.1_git20240714-r0.apk      25-Oct-2024 20:06               17591
emacs-emacsql-sqlite-module-3.1.1_git20240714-r..> 25-Oct-2024 20:06                4396
emacs-embark-1.1-r0.apk                            23-Apr-2025 05:42              113563
emacs-embark-consult-1.1-r0.apk                    23-Apr-2025 05:42               10659
emacs-ement-0.16-r0.apk                            23-Apr-2025 05:13              297682
emacs-epkg-3.3.3_git20240713-r0.apk                25-Oct-2024 20:06               37705
emacs-fossil-0_git20230504-r0.apk                  25-Oct-2024 20:06               14980
emacs-gnosis-0.3.2-r0.apk                          25-Oct-2024 20:06               63633
emacs-hackernews-0.7.0-r0.apk                      25-Oct-2024 20:06               15879
emacs-helm-3.9.7_git20240329-r0.apk                25-Oct-2024 20:06              834711
emacs-hnreader-0_git20221116-r0.apk                25-Oct-2024 20:06                9987
emacs-hydra-0.15.0_git20220910-r0.apk              25-Oct-2024 20:06               47041
emacs-llama-1.0.2-r0.apk                           02-Nov-2025 14:18               12903
emacs-lsp-booster-0.2.1-r0.apk                     12-Apr-2025 12:21              434770
emacs-lsp-booster-doc-0.2.1-r0.apk                 12-Apr-2025 12:21                2324
emacs-persist-0.6_git20240114-r0.apk               25-Oct-2024 20:06                6767
emacs-powerline-2.4_git20221110-r0.apk             25-Oct-2024 20:06               29589
emacs-sqlite3-api-0.18-r0.apk                      25-Oct-2024 20:06               18711
emacs-svg-lib-0_git20240219-r0.apk                 25-Oct-2024 20:06               19424
emacs-taxy-0.10.2-r0.apk                           23-Apr-2025 05:42               11740
emacs-taxy-magit-section-0.14.3-r0.apk             23-Apr-2025 05:42               18111
emacs-total-recall-0_git20250426-r0.apk            30-Apr-2025 08:17               18020
emacs-total-recall-examples-0_git20250426-r0.apk   30-Apr-2025 08:17               14263
emmylua-check-0.17.0-r0.apk                        22-Dec-2025 00:15             1974578
emmylua-doc-cli-0.17.0-r0.apk                      22-Dec-2025 00:15             2437311
emmylua-ls-0.17.0-r0.apk                           22-Dec-2025 00:15             2911232
emmylua-ls-doc-0.17.0-r0.apk                       22-Dec-2025 00:15               37610
empede-0.2.3-r0.apk                                25-Oct-2024 20:06             1767453
empede-doc-0.2.3-r0.apk                            25-Oct-2024 20:06                2319
empede-openrc-0.2.3-r0.apk                         25-Oct-2024 20:06                1945
emulationstation-2.11.2-r1.apk                     11-Mar-2025 17:54             1262375
emulationstation-theme-gbz35-2.11.2-r1.apk         11-Mar-2025 17:54             3406504
endeavour-43.0-r2.apk                              08-Dec-2024 21:40              181813
endeavour-dev-43.0-r2.apk                          08-Dec-2024 21:40               46679
endeavour-doc-43.0-r2.apk                          08-Dec-2024 21:40               69703
endeavour-lang-43.0-r2.apk                         08-Dec-2024 21:40              208017
endlessh-1.1-r1.apk                                25-May-2025 07:49                9646
endlessh-doc-1.1-r1.apk                            25-May-2025 07:49                2726
enjoy-0.3-r1.apk                                   25-Oct-2024 20:06               10795
enlighten-0.9.2-r1.apk                             25-Oct-2024 20:06                7453
enlighten-doc-0.9.2-r1.apk                         25-Oct-2024 20:06                3594
envconsul-0.13.4-r1.apk                            04-Dec-2025 13:54             5084113
envsubst-0.1-r1.apk                                25-Oct-2024 20:06                4264
epic6-0_git20250821-r0.apk                         05-Sep-2025 06:56              382389
epic6-doc-0_git20250821-r0.apk                     05-Sep-2025 06:56               17608
epic6-script-0_git20250821-r0.apk                  05-Sep-2025 06:56              152554
epoch-1.3.0-r2.apk                                 25-Oct-2024 20:06               62059
epr-2.4.15-r1.apk                                  25-Oct-2024 20:06               16076
epr-pyc-2.4.15-r1.apk                              25-Oct-2024 20:06               25006
ergo-ldap-0.0.1-r22.apk                            04-Dec-2025 13:54             2347666
ergo-ldap-doc-0.0.1-r22.apk                        04-Dec-2025 13:54                2342
errands-46.2.9-r0.apk                              23-Oct-2025 08:13               86114
errands-lang-46.2.9-r0.apk                         23-Oct-2025 08:13               74809
espeakup-0.90-r2.apk                               25-Oct-2024 20:06               11113
espeakup-openrc-0.90-r2.apk                        25-Oct-2024 20:06                1832
esptool-4.8.1-r0.apk                               25-Oct-2024 20:06              434187
esptool-pyc-4.8.1-r0.apk                           25-Oct-2024 20:06              562523
ettercap-0.8.3.1-r3.apk                            25-Oct-2024 20:06              573733
ettercap-doc-0.8.3.1-r3.apk                        25-Oct-2024 20:06               46208
eva-0.3.1-r2.apk                                   25-Oct-2024 20:06              637478
evolution-etesync-1.1.1-r0.apk                     27-Sep-2025 19:40               51416
evolution-etesync-lang-1.1.1-r0.apk                27-Sep-2025 19:40               19736
evolution-on-3.24.4-r1.apk                         18-Sep-2025 17:36               10509
eww-0.6.0-r0.apk                                   09-Nov-2025 19:44             3206814
exabgp-4.2.24-r1.apk                               05-Sep-2025 06:56              394055
exabgp-doc-4.2.24-r1.apk                           05-Sep-2025 06:56                8289
exabgp-openrc-4.2.24-r1.apk                        05-Sep-2025 06:56                2300
exabgp-pyc-4.2.24-r1.apk                           05-Sep-2025 06:56              796935
exercism-3.2.0-r18.apk                             04-Dec-2025 13:54             4272981
exercism-bash-completion-3.2.0-r18.apk             04-Dec-2025 13:54                2010
exercism-fish-completion-3.2.0-r18.apk             04-Dec-2025 13:54                2421
exercism-zsh-completion-3.2.0-r18.apk              04-Dec-2025 13:54                2161
extrace-0.9-r0.apk                                 25-Oct-2024 20:06               10588
extrace-doc-0.9-r0.apk                             25-Oct-2024 20:06                3600
extremetuxracer-0.8.3-r0.apk                       25-Oct-2024 20:06            41445774
extremetuxracer-doc-0.8.3-r0.apk                   25-Oct-2024 20:06                6837
extundelete-0.2.4-r1.apk                           25-Oct-2024 20:06               42622
fabric-3.2.2-r1.apk                                25-Oct-2024 20:06               55946
fabric-pyc-3.2.2-r1.apk                            25-Oct-2024 20:06               61590
fakeroot-tcp-1.32.1-r1.apk                         25-Oct-2024 20:06               30329
fast-double-parser-0.8.1-r0.apk                    20-Oct-2025 10:32               25927
fastd-23-r0.apk                                    27-Jan-2025 21:33               72420
fastd-doc-23-r0.apk                                27-Jan-2025 21:33                3357
fastd-openrc-23-r0.apk                             27-Jan-2025 21:33                1739
fatback-1.3-r2.apk                                 25-Oct-2024 20:06               27711
fatback-doc-1.3-r2.apk                             25-Oct-2024 20:06               16469
fathom-1.3.1-r18.apk                               04-Dec-2025 13:54             5020592
fatrace-0.18.0-r0.apk                              27-Jul-2025 19:28               10502
fatrace-doc-0.18.0-r0.apk                          27-Jul-2025 19:28                3392
fatresize-1.1.0-r1.apk                             25-Oct-2024 20:06                9149
fatresize-doc-1.1.0-r1.apk                         25-Oct-2024 20:06               15562
faultstat-0.01.11-r0.apk                           25-Oct-2024 20:06               13482
faultstat-bash-completion-0.01.11-r0.apk           25-Oct-2024 20:06                2330
faultstat-doc-0.01.11-r0.apk                       25-Oct-2024 20:06                3084
faust-2.79.3-r0.apk                                07-Jun-2025 17:02             8101696
faust-dev-2.79.3-r0.apk                            07-Jun-2025 17:02             1440050
faust-doc-2.79.3-r0.apk                            07-Jun-2025 17:02            17503144
faust-static-2.79.3-r0.apk                         07-Jun-2025 17:02              553170
faust-tools-2.79.3-r0.apk                          07-Jun-2025 17:02              123606
faust-vim-2.79.3-r0.apk                            07-Jun-2025 17:02                2666
fava-1.28-r0.apk                                   25-Oct-2024 20:06             1125067
fava-pyc-1.28-r0.apk                               25-Oct-2024 20:06              168039
fbcur-1.0.1-r1.apk                                 25-Oct-2024 20:06                4450
fbcur-doc-1.0.1-r1.apk                             25-Oct-2024 20:06                2218
fbdebug-1.0.1-r0.apk                               19-Dec-2025 21:47                5429
fceux-2.6.6-r4.apk                                 27-Sep-2025 13:41             2992264
fceux-doc-2.6.6-r4.apk                             27-Sep-2025 13:41              107184
fdm-materials-5.2.2-r1.apk                         25-Oct-2024 20:06               61097
featherpad-1.6.2-r0.apk                            28-Nov-2025 19:40              705417
featherpad-lang-1.6.2-r0.apk                       28-Nov-2025 19:40              496821
felix-2.16.1-r0.apk                                16-May-2025 08:31              695081
femto-2.24.1-r0.apk                                06-Sep-2025 08:34               67917
femto-doc-2.24.1-r0.apk                            06-Sep-2025 08:34               48669
fff-2.2-r0.apk                                     25-Oct-2024 20:06               10995
fff-doc-2.2-r0.apk                                 25-Oct-2024 20:06                9203
fflas-ffpack-2.5.0-r3.apk                          25-Oct-2024 20:06              353619
ffmpeg4-4.4.5-r4.apk                               19-Oct-2025 09:50               33078
ffmpeg4-dev-4.4.5-r4.apk                           19-Oct-2025 09:50              287506
ffmpeg4-libavcodec-4.4.5-r4.apk                    19-Oct-2025 09:50             6621432
ffmpeg4-libavdevice-4.4.5-r4.apk                   19-Oct-2025 09:50               48614
ffmpeg4-libavfilter-4.4.5-r4.apk                   19-Oct-2025 09:50             1497360
ffmpeg4-libavformat-4.4.5-r4.apk                   19-Oct-2025 09:50             1245456
ffmpeg4-libavutil-4.4.5-r4.apk                     19-Oct-2025 09:50              299950
ffmpeg4-libpostproc-4.4.5-r4.apk                   19-Oct-2025 09:50               22755
ffmpeg4-libswresample-4.4.5-r4.apk                 19-Oct-2025 09:50               42863
ffmpeg4-libswscale-4.4.5-r4.apk                    19-Oct-2025 09:50              147679
ffms2-5.0-r2.apk                                   27-Sep-2025 13:41               71877
ffms2-dev-5.0-r2.apk                               27-Sep-2025 13:41                7707
ffms2-doc-5.0-r2.apk                               27-Sep-2025 13:41               30955
ffsend-0.2.76-r4.apk                               25-Oct-2024 20:06             1621148
ffsend-bash-completion-0.2.76-r4.apk               25-Oct-2024 20:06                3676
ffsend-fish-completion-0.2.76-r4.apk               25-Oct-2024 20:06                3634
ffsend-zsh-completion-0.2.76-r4.apk                25-Oct-2024 20:06                4649
fheroes2-1.1.13-r0.apk                             22-Dec-2025 16:41             1822249
fheroes2-lang-1.1.13-r0.apk                        22-Dec-2025 16:41             1909664
fildesh-0.2.0-r0.apk                               25-Oct-2024 20:06               65998
fildesh-doc-0.2.0-r0.apk                           25-Oct-2024 20:06                2149
fildesh-vim-0.2.0-r0.apk                           25-Oct-2024 20:06                3632
filebrowser-2.27.0-r16.apk                         04-Dec-2025 13:54             7740655
filebrowser-openrc-2.27.0-r16.apk                  04-Dec-2025 13:54                1863
fileshelter-6.2.0-r4.apk                           27-Sep-2025 22:22              307217
fileshelter-openrc-6.2.0-r4.apk                    27-Sep-2025 22:22                1739
filite-0.3.0-r2.apk                                25-Oct-2024 20:06             1182164
findtow-0.1-r0.apk                                 25-Oct-2024 20:06                4582
finger-0.5-r0.apk                                  25-Oct-2024 20:06                6552
finger-doc-0.5-r0.apk                              25-Oct-2024 20:06                3865
firehol-3.1.7-r2.apk                               25-Oct-2024 20:06               86788
firehol-doc-3.1.7-r2.apk                           25-Oct-2024 20:06              690785
firehol-openrc-3.1.7-r2.apk                        25-Oct-2024 20:06                2102
flamelens-0.3.1-r0.apk                             29-Apr-2025 19:46             1247538
flamelens-doc-0.3.1-r0.apk                         29-Apr-2025 19:46                3750
flann-1.9.2-r1.apk                                 17-Feb-2025 12:07             1607107
flann-dev-1.9.2-r1.apk                             17-Feb-2025 12:07             1400947
flann-doc-1.9.2-r1.apk                             17-Feb-2025 12:07                2595
flare-engine-1.14-r1.apk                           05-Nov-2025 11:39             4582112
flare-engine-doc-1.14-r1.apk                       05-Nov-2025 11:39                2526
flare-game-1.14-r0.apk                             25-Oct-2024 20:06                2242
flauschige-uhr-0.1-r1.apk                          25-Oct-2024 20:06                4425
flawz-0.3.0-r0.apk                                 03-Nov-2024 21:06             1286474
flawz-bash-completion-0.3.0-r0.apk                 03-Nov-2024 21:06                2169
flawz-doc-0.3.0-r0.apk                             03-Nov-2024 21:06                6132
flawz-fish-completion-0.3.0-r0.apk                 03-Nov-2024 21:06                1955
flawz-zsh-completion-0.3.0-r0.apk                  03-Nov-2024 21:06                2280
flint-3.4.0-r0.apk                                 26-Nov-2025 08:23             4060887
flint-dev-3.4.0-r0.apk                             26-Nov-2025 08:23              323467
flowd-0.9.1-r11.apk                                30-Jun-2025 07:14               85639
flowd-dev-0.9.1-r11.apk                            30-Jun-2025 07:14                8279
flowd-doc-0.9.1-r11.apk                            30-Jun-2025 07:14               10330
flowd-openrc-0.9.1-r11.apk                         30-Jun-2025 07:14                1940
fnf-0.1-r0.apk                                     25-Oct-2024 20:06               15372
fnf-doc-0.1-r0.apk                                 25-Oct-2024 20:06                4680
foma-0.10.0_git20240712-r0.apk                     25-Oct-2024 20:06              313849
foma-dev-0.10.0_git20240712-r0.apk                 25-Oct-2024 20:06                8674
font-andika-six-6.210-r0.apk                       28-Sep-2025 11:20             1546028
font-anonymous-pro-1.002-r2.apk                    25-Oct-2024 20:06              270824
font-aref-ruqaa-1.006-r0.apk                       12-Apr-2025 12:25              365885
font-babelstone-han-15.1.3-r0.apk                  25-Oct-2024 20:06            19204345
font-cascadia-2407.24-r1.apk                       27-May-2025 14:36                1295
font-cascadia-code-2407.24-r1.apk                  27-May-2025 14:36              538789
font-cascadia-mono-2407.24-r1.apk                  27-May-2025 14:36              519002
font-chivo-0_git20221110-r0.apk                    25-Oct-2024 20:06              811371
font-chivo-mono-0_git20221110-r0.apk               25-Oct-2024 20:06              640886
font-comic-neue-2.51-r0.apk                        25-Oct-2024 20:06              254741
font-comic-neue-doc-2.51-r0.apk                    25-Oct-2024 20:06             1028161
font-commit-mono-1.143-r0.apk                      25-Oct-2024 20:06              257283
font-cousine-0_git20210228-r0.apk                  25-Oct-2024 20:06              112601
font-fantasque-sans-1.8.0-r0.apk                   25-Oct-2024 20:06                1260
font-fantasque-sans-doc-1.8.0-r0.apk               25-Oct-2024 20:06                5670
font-fantasque-sans-largelineheight-1.8.0-r0.apk   25-Oct-2024 20:06              323353
font-fantasque-sans-largelineheightnoloopk-1.8...> 25-Oct-2024 20:06              323365
font-fantasque-sans-noloopk-1.8.0-r0.apk           25-Oct-2024 20:06              323359
font-fantasque-sans-normal-1.8.0-r0.apk            25-Oct-2024 20:06              323352
font-fira-code-6.2-r0.apk                          25-Oct-2024 20:06              856068
font-fira-code-vf-6.2-r0.apk                       25-Oct-2024 20:06              148544
font-firamath-0.3.4-r0.apk                         25-Oct-2024 20:06              121164
font-fontawesome-4-4.7.0-r3.apk                    25-Oct-2024 20:06              209932
font-hanazono-20170904-r2.apk                      15-Sep-2025 13:00            30352511
font-intel-one-mono-1.3.0-r0.apk                   25-Oct-2024 20:06              288178
font-katex-0.16.2-r0.apk                           25-Oct-2024 20:06              871998
font-material-icons-4.0.0-r0.apk                   25-Oct-2024 20:06              667498
font-monaspace-1.101-r0.apk                        25-Oct-2024 20:06                1491
font-monaspace-argon-1.101-r0.apk                  25-Oct-2024 20:06             2315696
font-monaspace-krypton-1.101-r0.apk                25-Oct-2024 20:06             2169081
font-monaspace-neon-1.101-r0.apk                   25-Oct-2024 20:06             2239073
font-monaspace-radon-1.101-r0.apk                  25-Oct-2024 20:06             2878217
font-monaspace-xenon-1.101-r0.apk                  25-Oct-2024 20:06             2452475
font-monocraft-4.0-r0.apk                          25-Oct-2024 20:06              692993
font-openmoji-16.0.0-r0.apk                        11-Aug-2025 06:31             1437689
font-siji-20190218_git-r2.apk                      25-Oct-2024 20:06               25082
font-stix-otf-2.13-r0.apk                          25-Oct-2024 20:06             2139944
font-stix-ttf-2.13-r0.apk                          25-Oct-2024 20:06              440354
font-tamzen-1.11.5-r1.apk                          25-Oct-2024 20:06               63349
font-terminus-ttf-4.49.3-r0.apk                    15-Jul-2025 08:22              550772
font-tinos-0_git20210228-r0.apk                    25-Oct-2024 20:06              203424
font-tiresias-0_git20200704-r0.apk                 25-Oct-2024 20:06              581904
font-tiresias-doc-0_git20200704-r0.apk             25-Oct-2024 20:06               59547
foolsm-1.0.21-r0.apk                               25-Oct-2024 20:06               33758
foolsm-doc-1.0.21-r0.apk                           25-Oct-2024 20:06                3946
foolsm-openrc-1.0.21-r0.apk                        25-Oct-2024 20:06                1586
formiko-1.5.0-r0.apk                               15-Aug-2025 09:06              109531
formiko-doc-1.5.0-r0.apk                           15-Aug-2025 09:06                8742
formiko-pyc-1.5.0-r0.apk                           15-Aug-2025 09:06               63035
fpc-3.2.2-r4.apk                                   25-Oct-2024 20:06            71848486
fpc-doc-3.2.2-r4.apk                               25-Oct-2024 20:06             1301577
fpc-stage0-3.2.2-r3.apk                            25-Oct-2024 20:06             6469010
fplll-5.5.0-r0.apk                                 19-Nov-2024 22:34               47613
fplll-dev-5.5.0-r0.apk                             19-Nov-2024 22:34               79835
fplll-libs-5.5.0-r0.apk                            19-Nov-2024 22:34             6433742
fplll-static-5.5.0-r0.apk                          19-Nov-2024 22:34             8224254
fplll-strategies-5.5.0-r0.apk                      19-Nov-2024 22:34             1792043
fpp-0.9.5-r0.apk                                   25-Oct-2024 20:06               29974
fpp-doc-0.9.5-r0.apk                               25-Oct-2024 20:06                5730
fq-0.16.0-r0.apk                                   12-Dec-2025 19:57             4720623
freealut-1.1.0-r1.apk                              25-Oct-2024 20:06               17137
freealut-dev-1.1.0-r1.apk                          25-Oct-2024 20:06               22348
freediameter-1.5.0-r1.apk                          25-Oct-2024 20:06                9809
freediameter-dev-1.5.0-r1.apk                      25-Oct-2024 20:06               55079
freediameter-extensions-1.5.0-r1.apk               25-Oct-2024 20:06              460771
freediameter-libfdcore-1.5.0-r1.apk                25-Oct-2024 20:06              199938
freediameter-libfdproto-1.5.0-r1.apk               25-Oct-2024 20:06              106900
frescobaldi-3.3.0-r1.apk                           25-Oct-2024 20:06             3645971
frescobaldi-doc-3.3.0-r1.apk                       25-Oct-2024 20:06                2539
frescobaldi-pyc-3.3.0-r1.apk                       25-Oct-2024 20:06             1253333
freshrss-1.27.1-r0.apk                             03-Nov-2025 04:29             1708714
freshrss-doc-1.27.1-r0.apk                         03-Nov-2025 04:29              866584
freshrss-lang-1.27.1-r0.apk                        03-Nov-2025 04:29              510928
freshrss-mysql-1.27.1-r0.apk                       03-Nov-2025 04:29                1302
freshrss-openrc-1.27.1-r0.apk                      03-Nov-2025 04:29                2559
freshrss-pgsql-1.27.1-r0.apk                       03-Nov-2025 04:29                1303
freshrss-sqlite-1.27.1-r0.apk                      03-Nov-2025 04:29                1304
freshrss-themes-1.27.1-r0.apk                      03-Nov-2025 04:29             1572143
fulcrum-1.9.8-r1.apk                               25-Oct-2024 20:06              875027
fulcrum-admin-1.9.8-r1.apk                         25-Oct-2024 20:06                8104
fulcrum-doc-1.9.8-r1.apk                           25-Oct-2024 20:06               22135
fungw-1.2.2-r0.apk                                 19-Sep-2025 18:24               13119
fungw-c-1.2.2-r0.apk                               19-Sep-2025 18:24                7930
fungw-cli-1.2.2-r0.apk                             19-Sep-2025 18:24               22185
fungw-dev-1.2.2-r0.apk                             19-Sep-2025 18:24                8004
fungw-doc-1.2.2-r0.apk                             19-Sep-2025 18:24               13502
fungw-duktape-1.2.2-r0.apk                         19-Sep-2025 18:24               15548
fungw-fawk-1.2.2-r0.apk                            19-Sep-2025 18:24              110541
fungw-lua-1.2.2-r0.apk                             19-Sep-2025 18:24               13367
fungw-mujs-1.2.2-r0.apk                            19-Sep-2025 18:24               14922
fungw-perl-1.2.2-r0.apk                            19-Sep-2025 18:24               48096
fungw-python3-1.2.2-r0.apk                         19-Sep-2025 18:24               25433
fungw-tcl-1.2.2-r0.apk                             19-Sep-2025 18:24               12531
fusee-nano-0.5.3-r1.apk                            25-Oct-2024 20:06               20994
fusee-nano-udev-0.5.3-r1.apk                       25-Oct-2024 20:06                1744
fuseiso-20070708-r0.apk                            14-Apr-2025 01:12               16381
fuseiso-doc-20070708-r0.apk                        14-Apr-2025 01:12                2648
fusesoc-2.3-r0.apk                                 25-Oct-2024 20:06               47416
fusesoc-pyc-2.3-r0.apk                             25-Oct-2024 20:06               91405
fxfloorboard-katana-mk2-20240515-r1.apk            25-Oct-2024 20:06             5804644
fxfloorboard-katana-mk2-doc-20240515-r1.apk        25-Oct-2024 20:06             1138484
fxload-2008.10.13-r0.apk                           06-Dec-2025 23:07                8564
fynedesk-0.4.0-r2.apk                              04-Dec-2025 13:54            13277179
gambit-4.9.5-r1.apk                                07-Apr-2025 10:33             7812299
gambit-dev-4.9.5-r1.apk                            07-Apr-2025 10:33             6963248
gambit-doc-4.9.5-r1.apk                            07-Apr-2025 10:33                4437
game-devices-udev-0.25-r0.apk                      23-Oct-2025 05:04                7064
gamemode-1.8.2-r0.apk                              05-Feb-2025 23:21               74414
gamemode-dev-1.8.2-r0.apk                          05-Feb-2025 23:21                5225
gamemode-doc-1.8.2-r0.apk                          05-Feb-2025 23:21                7724
gammastep-2.0.9-r3.apk                             25-Oct-2024 20:06               91077
gammastep-doc-2.0.9-r3.apk                         25-Oct-2024 20:06               14397
gammastep-lang-2.0.9-r3.apk                        25-Oct-2024 20:06               79395
gammastep-pyc-2.0.9-r3.apk                         25-Oct-2024 20:06               17281
gatling-0.16-r6.apk                                25-Oct-2024 20:06              154627
gatling-doc-0.16-r6.apk                            25-Oct-2024 20:06                9295
gatling-openrc-0.16-r6.apk                         25-Oct-2024 20:06                2848
gaupol-1.12-r2.apk                                 25-Oct-2024 20:06              282801
gaupol-doc-1.12-r2.apk                             25-Oct-2024 20:06                2428
gaupol-lang-1.12-r2.apk                            25-Oct-2024 20:06              283324
gaupol-pyc-1.12-r2.apk                             25-Oct-2024 20:06              429304
gb-0.4.4-r36.apk                                   04-Dec-2025 13:54             7421117
gcli-2.9.1-r0.apk                                  09-Nov-2025 16:39              126946
gcli-doc-2.9.1-r0.apk                              09-Nov-2025 16:39               38596
gdcm-3.2.2-r3.apk                                  02-Dec-2025 04:28              436120
gdcm-dev-3.2.2-r3.apk                              02-Dec-2025 04:28              460719
gdcm-doc-3.2.2-r3.apk                              02-Dec-2025 04:28               56691
gdcm-doc-html-3.2.2-r3.apk                         02-Dec-2025 04:28             9323764
gdcm-doc-pdf-3.2.2-r3.apk                          02-Dec-2025 04:28            14813776
gearman-dev-1.1.22-r0.apk                          05-Sep-2025 06:56             1032416
gearman-libs-1.1.22-r0.apk                         05-Sep-2025 06:56               73574
gearmand-1.1.22-r0.apk                             05-Sep-2025 06:56              162696
gearmand-doc-1.1.22-r0.apk                         05-Sep-2025 06:56              193553
gearmand-openrc-1.1.22-r0.apk                      05-Sep-2025 06:56                1850
gede-2.22.1-r0.apk                                 02-Nov-2025 20:48              388781
genact-1.4.2-r0.apk                                25-Oct-2024 20:06             1423555
geoclue-stumbler-1.1-r0.apk                        04-Oct-2025 17:03               41549
geodns-3.3.0-r18.apk                               04-Dec-2025 13:54             5028481
geodns-logs-3.3.0-r18.apk                          04-Dec-2025 13:54             4596693
geodns-openrc-3.3.0-r18.apk                        04-Dec-2025 13:54                1823
geomyidae-0.34-r2.apk                              25-Oct-2024 20:06               13819
geomyidae-doc-0.34-r2.apk                          25-Oct-2024 20:06                7836
geomyidae-openrc-0.34-r2.apk                       25-Oct-2024 20:06                2040
geonames-0.3.1-r2.apk                              25-Oct-2024 20:06              846354
geonames-dev-0.3.1-r2.apk                          25-Oct-2024 20:06                3091
geonames-doc-0.3.1-r2.apk                          25-Oct-2024 20:06               12900
geonames-lang-0.3.1-r2.apk                         25-Oct-2024 20:06             4801103
getmail6-6.19.10-r0.apk                            19-Aug-2025 11:29               72081
getmail6-doc-6.19.10-r0.apk                        19-Aug-2025 11:29              141828
getmail6-pyc-6.19.10-r0.apk                        19-Aug-2025 11:29              105745
getssl-2.48-r0.apk                                 25-Oct-2024 20:06               84140
getting-things-gnome-0.6-r4.apk                    08-Dec-2024 21:40              732081
getting-things-gnome-doc-0.6-r4.apk                08-Dec-2024 21:40              509332
getting-things-gnome-lang-0.6-r4.apk               08-Dec-2024 21:40              234205
gf2x-1.3.0-r1.apk                                  25-Oct-2024 20:06               38311
gf2x-dev-1.3.0-r1.apk                              25-Oct-2024 20:06               59684
ghc-filesystem-1.5.14-r0.apk                       25-Oct-2024 20:06               39541
ghq-1.8.0-r6.apk                                   04-Dec-2025 13:54             3891287
ghq-bash-completion-1.8.0-r6.apk                   04-Dec-2025 13:54                1838
ghq-doc-1.8.0-r6.apk                               04-Dec-2025 13:54                5633
ghq-fish-completion-1.8.0-r6.apk                   04-Dec-2025 13:54                2613
ghq-zsh-completion-1.8.0-r6.apk                    04-Dec-2025 13:54                2548
gimp-plugin-gmic-3.6.0-r1.apk                      25-Oct-2025 08:43             1333698
ginac-1.8.9-r0.apk                                 25-May-2025 07:49             1110834
ginac-dev-1.8.9-r0.apk                             25-May-2025 07:49               69797
ginac-doc-1.8.9-r0.apk                             25-May-2025 07:49               99850
ginger-2.4.0-r7.apk                                25-Oct-2024 20:06              263234
ginger-lang-2.4.0-r7.apk                           25-Oct-2024 20:06              128454
ginger-pyc-2.4.0-r7.apk                            25-Oct-2024 20:06              211801
gingerbase-2.3.0-r7.apk                            25-Oct-2024 20:06              199913
gingerbase-lang-2.3.0-r7.apk                       25-Oct-2024 20:06               54132
gingerbase-pyc-2.3.0-r7.apk                        25-Oct-2024 20:06               62948
git-bug-0.8.1-r6.apk                               04-Dec-2025 13:54            10284872
git-bug-bash-completion-0.8.1-r6.apk               04-Dec-2025 13:54                5405
git-bug-doc-0.8.1-r6.apk                           04-Dec-2025 13:54               17266
git-bug-fish-completion-0.8.1-r6.apk               04-Dec-2025 13:54                4439
git-bug-zsh-completion-0.8.1-r6.apk                04-Dec-2025 13:54                4150
git-extras-7.4.0-r0.apk                            24-Jul-2025 01:27               58450
git-extras-bash-completion-7.4.0-r0.apk            24-Jul-2025 01:27                2946
git-extras-doc-7.4.0-r0.apk                        24-Jul-2025 01:27               66753
git-graph-0.6.0-r0.apk                             25-Nov-2024 23:38              882901
git-graph-doc-0.6.0-r0.apk                         25-Nov-2024 23:38                6393
git-quick-stats-2.8.0-r0.apk                       11-Sep-2025 08:18               15604
git-quick-stats-doc-2.8.0-r0.apk                   11-Sep-2025 08:18                3555
git-revise-0.7.0-r5.apk                            25-Oct-2024 20:06               24888
git-revise-doc-0.7.0-r5.apk                        25-Oct-2024 20:06                5082
git-revise-pyc-0.7.0-r5.apk                        25-Oct-2024 20:06               43205
git-secret-0.5.0-r0.apk                            25-Oct-2024 20:06               15112
git-secret-doc-0.5.0-r0.apk                        25-Oct-2024 20:06               17477
git2json-0.2.3-r8.apk                              25-Oct-2024 20:06                7603
git2json-pyc-0.2.3-r8.apk                          25-Oct-2024 20:06                5828
gkrellm-2.3.11-r0.apk                              08-Jan-2025 22:36              375667
gkrellm-dev-2.3.11-r0.apk                          08-Jan-2025 22:36               16901
gkrellm-doc-2.3.11-r0.apk                          08-Jan-2025 22:36               18973
gkrellm-lang-2.3.11-r0.apk                         08-Jan-2025 22:36              388220
gkrellm-server-2.3.11-r0.apk                       08-Jan-2025 22:36               53174
gl2ps-1.4.2-r0.apk                                 12-Oct-2025 21:33               37417
gl2ps-dev-1.4.2-r0.apk                             12-Oct-2025 21:33                4449
gl2ps-doc-1.4.2-r0.apk                             12-Oct-2025 21:33              235746
gl2ps-static-1.4.2-r0.apk                          12-Oct-2025 21:33               43311
gliderlabs-sigil-0.11.0-r10.apk                    04-Dec-2025 13:54             3405636
gliderlabs-sigil-doc-0.11.0-r10.apk                04-Dec-2025 13:54                2480
glmark2-2023.01-r1.apk                             25-Oct-2024 20:06             8386582
glmark2-doc-2023.01-r1.apk                         25-Oct-2024 20:06               13114
gloox-1.0.28-r0.apk                                25-Oct-2024 20:06              360581
gloox-dev-1.0.28-r0.apk                            25-Oct-2024 20:06              950756
glow-2.1.1-r5.apk                                  04-Dec-2025 13:54             6289702
glow-bash-completion-2.1.1-r5.apk                  04-Dec-2025 13:54                6250
glow-doc-2.1.1-r5.apk                              04-Dec-2025 13:54                3283
glow-fish-completion-2.1.1-r5.apk                  04-Dec-2025 13:54                4428
glow-zsh-completion-2.1.1-r5.apk                   04-Dec-2025 13:54                4141
glslviewer-3.2.4-r2.apk                            28-Aug-2025 17:44             1670970
gmcapsule-0.9.8-r0.apk                             07-Oct-2025 21:03               37046
gmcapsule-openrc-0.9.8-r0.apk                      07-Oct-2025 21:03                2028
gmcapsule-pyc-0.9.8-r0.apk                         07-Oct-2025 21:03               62243
gmenuharness-0.1.4-r2.apk                          17-Feb-2025 12:07               34977
gmenuharness-dev-0.1.4-r2.apk                      17-Feb-2025 12:07                4166
gmic-3.6.0-r1.apk                                  25-Oct-2025 08:43            12921824
gmic-bash-completion-3.6.0-r1.apk                  25-Oct-2025 08:43               29371
gmic-dev-3.6.0-r1.apk                              25-Oct-2025 08:43                7807
gmic-doc-3.6.0-r1.apk                              25-Oct-2025 08:43              228223
gmic-libs-3.6.0-r1.apk                             25-Oct-2025 08:43             3320847
gmic-qt-3.6.0-r1.apk                               25-Oct-2025 08:43             1675008
gmid-2.1.1-r0.apk                                  27-Nov-2024 19:26              211888
gmid-doc-2.1.1-r0.apk                              27-Nov-2024 19:26               14791
gmid-openrc-2.1.1-r0.apk                           27-Nov-2024 19:26                2248
gnome-common-3.18.0-r3.apk                         25-Oct-2024 20:06               11810
gnome-latex-3.48.0-r0.apk                          07-Apr-2025 21:29              366517
gnome-latex-doc-3.48.0-r0.apk                      07-Apr-2025 21:29              110599
gnome-latex-lang-3.48.0-r0.apk                     07-Apr-2025 21:29              542985
gnome-mahjongg-49.0.1-r0.apk                       18-Oct-2025 21:03             2490950
gnome-mahjongg-doc-49.0.1-r0.apk                   18-Oct-2025 21:03                2252
gnome-mahjongg-lang-49.0.1-r0.apk                  18-Oct-2025 21:03              174408
gnome-metronome-1.3.0-r0.apk                       25-Oct-2024 20:06              467823
gnome-metronome-lang-1.3.0-r0.apk                  25-Oct-2024 20:06               25274
gnome-user-share-48.2-r0.apk                       23-Nov-2025 10:47              317630
gnome-user-share-lang-48.2-r0.apk                  23-Nov-2025 10:47               69541
gnome-user-share-systemd-48.2-r0.apk               23-Nov-2025 10:47                1775
gnu-apl-1.9-r0.apk                                 25-Oct-2024 20:06             1359487
gnu-apl-dev-1.9-r0.apk                             25-Oct-2024 20:06              598948
gnu-apl-doc-1.9-r0.apk                             25-Oct-2024 20:06             1632311
gnucobol-3.2-r0.apk                                28-Jul-2025 14:02              834957
gnucobol-doc-3.2-r0.apk                            28-Jul-2025 14:02               72503
gnucobol-lang-3.2-r0.apk                           28-Jul-2025 14:02              323613
go-away-0.7.0-r1.apk                               05-Sep-2025 06:56             8276857
go-away-openrc-0.7.0-r1.apk                        05-Sep-2025 06:56                2318
go-jsonnet-0.21.0-r5.apk                           04-Dec-2025 13:54             6678682
go-mtpfs-1.0.0-r32.apk                             04-Dec-2025 13:54             1220839
go-passbolt-cli-0.3.2-r8.apk                       04-Dec-2025 13:54             6339559
go-tools-0.40.0-r0.apk                             12-Dec-2025 15:18            46911174
gobang-0.1.0_alpha5-r1.apk                         25-Oct-2024 20:06             1823360
gobuster-3.8.0-r3.apk                              04-Dec-2025 13:54             3778786
godini-1.0.0-r5.apk                                04-Dec-2025 13:54             1533493
godini-doc-1.0.0-r5.apk                            04-Dec-2025 13:54               15133
gomp-1.0.0-r17.apk                                 04-Dec-2025 13:54             3763833
goomwwm-1.0.0-r5.apk                               25-Oct-2024 20:06               46552
goreman-0.3.15-r18.apk                             04-Dec-2025 13:54             2596945
goshs-1.1.3-r0.apk                                 18-Dec-2025 21:15             6531820
goshs-doc-1.1.3-r0.apk                             18-Dec-2025 21:15                2315
gotify-2.7.2-r2.apk                                04-Dec-2025 13:54            11142624
gotify-cli-2.3.2-r10.apk                           04-Dec-2025 13:54             4421168
gotify-openrc-2.7.2-r2.apk                         04-Dec-2025 13:54                2048
goxel-0.15.1-r0.apk                                25-Oct-2024 20:06             1716728
gprbuild-25.0.0-r0.apk                             29-Oct-2025 02:55            14425459
gpscorrelate-2.3-r0.apk                            27-Mar-2025 06:36               47372
gpscorrelate-cli-2.3-r0.apk                        27-Mar-2025 06:36               23845
gpscorrelate-doc-2.3-r0.apk                        27-Mar-2025 06:36              291799
gpscorrelate-lang-2.3-r0.apk                       27-Mar-2025 06:36               17806
gr-satellites-5.5.0-r6.apk                         12-Oct-2025 11:34              487780
gr-satellites-dev-5.5.0-r6.apk                     12-Oct-2025 11:34               13023
gr-satellites-doc-5.5.0-r6.apk                     12-Oct-2025 11:34                4626
gradia-1.9.0-r0.apk                                05-Sep-2025 06:56              543258
gradia-dev-1.9.0-r0.apk                            05-Sep-2025 06:56                2591
gradia-lang-1.9.0-r0.apk                           05-Sep-2025 06:56               56869
grcov-0.8.20-r0.apk                                11-Nov-2024 10:00             2054173
greetd-mini-wl-greeter-0_git20230821-r0.apk        25-Oct-2024 20:06               18986
greetd-mini-wl-greeter-bash-completion-0_git202..> 25-Oct-2024 20:06                2223
greetd-mini-wl-greeter-doc-0_git20230821-r0.apk    25-Oct-2024 20:06                3333
grip-4.2.4-r0.apk                                  25-Oct-2024 20:06              386467
grip-doc-4.2.4-r0.apk                              25-Oct-2024 20:06                6326
grip-lang-4.2.4-r0.apk                             25-Oct-2024 20:06              147889
grpcui-1.5.1-r4.apk                                04-Dec-2025 13:54             8814488
grpcurl-1.9.3-r8.apk                               04-Dec-2025 13:54             8387318
gsettings-qt-1.1.0-r0.apk                          10-Dec-2025 10:25               27778
gsettings-qt-dev-1.1.0-r0.apk                      10-Dec-2025 10:25                3631
gsimplecal-2.5.2-r0.apk                            16-Oct-2025 18:48               16626
gsimplecal-doc-2.5.2-r0.apk                        16-Oct-2025 18:48                5927
gssdp-1.6.4-r1.apk                                 08-Aug-2025 23:40               44428
gssdp-dev-1.6.4-r1.apk                             08-Aug-2025 23:40               15894
gst-audio-thumbnailer-1.0_alpha1-r2.apk            20-Dec-2025 19:24              278214
gst-thumbnailers-1.0_alpha1-r2.apk                 20-Dec-2025 19:24                1303
gst-video-thumbnailer-1.0_alpha1-r2.apk            20-Dec-2025 19:24              292684
gstreamermm-1.10.0-r6.apk                          17-Feb-2025 12:07              419167
gstreamermm-dev-1.10.0-r6.apk                      17-Feb-2025 12:07              317167
gtimelog-0.12_git20251114-r0.apk                   18-Nov-2025 20:35              224515
gtimelog-pyc-0.12_git20251114-r0.apk               18-Nov-2025 20:35              104774
gtk-session-lock-0.2.0-r0.apk                      31-Jan-2025 16:16               24495
gtk-session-lock-dev-0.2.0-r0.apk                  31-Jan-2025 16:16                5406
gtkhash-1.5-r0.apk                                 25-Oct-2024 20:06               87864
gtkhash-lang-1.5-r0.apk                            25-Oct-2024 20:06               47746
gtklock-4.0.0-r0.apk                               31-Jan-2025 16:16               18216
gtklock-doc-4.0.0-r0.apk                           31-Jan-2025 16:16                3042
gtkwave-3.3.120-r0.apk                             25-Oct-2024 20:06             2640692
gtkwave-doc-3.3.120-r0.apk                         25-Oct-2024 20:06               27774
gtranslator-49.0-r0.apk                            15-Sep-2025 07:46              135981
gtranslator-doc-49.0-r0.apk                        15-Sep-2025 07:46              652816
gtranslator-lang-49.0-r0.apk                       15-Sep-2025 07:46              607240
gtypist-2.10.1-r0.apk                              12-Oct-2025 20:59              651143
gtypist-doc-2.10.1-r0.apk                          12-Oct-2025 20:59              106377
gtypist-lang-2.10.1-r0.apk                         12-Oct-2025 20:59               26755
guake-3.10.1-r0.apk                                24-Nov-2025 18:07              311768
guake-lang-3.10.1-r0.apk                           24-Nov-2025 18:07              198933
guake-pyc-3.10.1-r0.apk                            24-Nov-2025 18:07              190629
guestfs-tools-1.56.1-r0.apk                        24-Jul-2025 01:27              294728
guetzli-0_git20191025-r2.apk                       25-May-2025 07:49              142878
guetzli-dev-0_git20191025-r2.apk                   25-May-2025 07:49             2324537
gufw-24.04-r3.apk                                  19-Nov-2024 22:34              610793
gufw-doc-24.04-r3.apk                              19-Nov-2024 22:34                4592
gufw-lang-24.04-r3.apk                             19-Nov-2024 22:34              875100
gufw-pyc-24.04-r3.apk                              19-Nov-2024 22:34               66122
guish-2.6.11-r0.apk                                24-Dec-2024 10:42               90212
guish-doc-2.6.11-r0.apk                            24-Dec-2024 10:42               62763
gupnp-1.6.9-r1.apk                                 08-Aug-2025 23:40               86332
gupnp-av-0.14.4-r1.apk                             08-Aug-2025 23:40               76190
gupnp-av-dev-0.14.4-r1.apk                         08-Aug-2025 23:40               42576
gupnp-dev-1.6.9-r1.apk                             08-Aug-2025 23:40               51604
gupnp-dlna-0.12.0-r1.apk                           08-Aug-2025 23:40               62828
gupnp-dlna-dev-0.12.0-r1.apk                       08-Aug-2025 23:40               24925
gupnp-doc-1.6.9-r1.apk                             08-Aug-2025 23:40                3896
gx-0.14.3-r35.apk                                  04-Dec-2025 13:54             4914322
gx-doc-0.14.3-r35.apk                              04-Dec-2025 13:54                2331
gx-go-1.9.0-r37.apk                                04-Dec-2025 13:54             5178510
gx-go-doc-1.9.0-r37.apk                            04-Dec-2025 13:54                2325
gyosu-0.2.0-r3.apk                                 04-Dec-2025 13:54             1936316
h4h5tools-2.2.5-r4.apk                             25-Oct-2024 20:06              126011
h4h5tools-dev-2.2.5-r4.apk                         25-Oct-2024 20:06                8963
h4h5tools-doc-2.2.5-r4.apk                         25-Oct-2024 20:06                2790
h4h5tools-static-2.2.5-r4.apk                      25-Oct-2024 20:06              117759
habitctl-0.1.0-r2.apk                              25-Oct-2024 20:06              337454
halp-0.2.0-r0.apk                                  25-Oct-2024 20:06              951939
halp-bash-completion-0.2.0-r0.apk                  25-Oct-2024 20:06                2228
halp-doc-0.2.0-r0.apk                              25-Oct-2024 20:06                7046
halp-fish-completion-0.2.0-r0.apk                  25-Oct-2024 20:06                2001
halp-zsh-completion-0.2.0-r0.apk                   25-Oct-2024 20:06                2460
hamster-time-tracker-3.0.3-r2.apk                  25-Oct-2024 20:06              159569
hamster-time-tracker-bash-completion-3.0.3-r2.apk  25-Oct-2024 20:06                2017
hamster-time-tracker-doc-3.0.3-r2.apk              25-Oct-2024 20:06              118986
hamster-time-tracker-lang-3.0.3-r2.apk             25-Oct-2024 20:06              210634
hamster-time-tracker-pyc-3.0.3-r2.apk              25-Oct-2024 20:06              366726
handlebars-1.0.0-r1.apk                            25-Oct-2024 20:06               98999
handlebars-dev-1.0.0-r1.apk                        25-Oct-2024 20:06               33168
handlebars-utils-1.0.0-r1.apk                      25-Oct-2024 20:06                9735
haproxy-dataplaneapi2-2.9.18-r2.apk                04-Dec-2025 13:54            10739282
haproxy-dataplaneapi2-openrc-2.9.18-r2.apk         04-Dec-2025 13:54                2181
hardinfo2-2.2.13-r0.apk                            28-Sep-2025 11:06             3431669
hardinfo2-doc-2.2.13-r0.apk                        28-Sep-2025 11:06                3079
hardinfo2-lang-2.2.13-r0.apk                       28-Sep-2025 11:06              298741
hardinfo2-openrc-2.2.13-r0.apk                     28-Sep-2025 11:06                1998
harminv-1.4.2-r1.apk                               25-Oct-2024 20:06                7622
harminv-dev-1.4.2-r1.apk                           25-Oct-2024 20:06                3170
harminv-doc-1.4.2-r1.apk                           25-Oct-2024 20:06                5775
harminv-libs-1.4.2-r1.apk                          25-Oct-2024 20:06               12064
hashcat-6.2.6-r0.apk                               25-Oct-2024 20:06            57748868
hashcat-doc-6.2.6-r0.apk                           25-Oct-2024 20:06             2253585
hatch-1.16.1-r0.apk                                30-Nov-2025 20:01              117514
hatch-pyc-1.16.1-r0.apk                            30-Nov-2025 20:01              252369
hatop-0.8.2-r0.apk                                 25-Oct-2024 20:06               18387
hatop-doc-0.8.2-r0.apk                             25-Oct-2024 20:06                3065
haxe-4.3.3-r2.apk                                  30-Dec-2025 20:50            10279502
haxe-doc-4.3.3-r2.apk                              30-Dec-2025 20:50                7994
hctl-0.2.7-r0.apk                                  14-May-2025 21:13             1386181
hddfancontrol-1.6.2-r0.apk                         25-Oct-2024 20:06               33625
hddfancontrol-openrc-1.6.2-r0.apk                  25-Oct-2024 20:06                2237
hddfancontrol-pyc-1.6.2-r0.apk                     25-Oct-2024 20:06               34848
hdf4-4.2.15-r2.apk                                 25-Oct-2024 20:06              276214
hdf4-dev-4.2.15-r2.apk                             25-Oct-2024 20:06              103267
hdf4-doc-4.2.15-r2.apk                             25-Oct-2024 20:06                6150
hdf4-tools-4.2.15-r2.apk                           25-Oct-2024 20:06              191327
heh-0.6.2-r0.apk                                   12-Dec-2025 14:48              502285
heh-doc-0.6.2-r0.apk                               12-Dec-2025 14:48                4166
heisenbridge-1.15.4-r0.apk                         07-Oct-2025 21:03               68839
heisenbridge-pyc-1.15.4-r0.apk                     07-Oct-2025 21:03              158678
helm-diff-3.13.1-r1.apk                            04-Dec-2025 13:54            22404191
helm-ls-0.5.4-r1.apk                               04-Dec-2025 13:54            19277727
helm-ls-doc-0.5.4-r1.apk                           04-Dec-2025 13:54                2305
helm-mapkubeapis-0.6.1-r1.apk                      04-Dec-2025 13:54            21848457
helm-unittest-1.0.3-r1.apk                         04-Dec-2025 13:54            12753101
herbe-1.0.0-r0.apk                                 25-Oct-2024 20:06                5755
hex-0.6.0-r0.apk                                   25-Oct-2024 20:06              307966
hexedit-1.6_git20230905-r0.apk                     25-Oct-2024 20:06               18780
hexedit-doc-1.6_git20230905-r0.apk                 25-Oct-2024 20:06                5701
hfst-3.16.2-r0.apk                                 28-Mar-2025 16:15             1398137
hfst-dev-3.16.2-r0.apk                             28-Mar-2025 16:15              213997
hfst-doc-3.16.2-r0.apk                             28-Mar-2025 16:15               71337
hfst-libs-3.16.2-r0.apk                            28-Mar-2025 16:15             1914448
hiawatha-11.6-r1.apk                               27-May-2025 11:07              170326
hiawatha-doc-11.6-r1.apk                           27-May-2025 11:07               21523
hiawatha-letsencrypt-11.6-r1.apk                   27-May-2025 11:07               17650
hiawatha-openrc-11.6-r1.apk                        27-May-2025 11:07                1726
hidrd-0.2.0_git20190603-r1.apk                     25-Oct-2024 20:06               67350
hidrd-dev-0.2.0_git20190603-r1.apk                 25-Oct-2024 20:06              123148
highfive-2.10.1-r0.apk                             15-Jan-2025 02:50               77291
hilbish-2.3.4-r10.apk                              04-Dec-2025 13:54             3608960
hilbish-doc-2.3.4-r10.apk                          04-Dec-2025 13:54               25531
himitsu-secret-service-0.1_git20250705-r1.apk      20-Oct-2025 13:57               16169
himitsu-secret-service-doc-0.1_git20250705-r1.apk  20-Oct-2025 13:57                4085
himitsu-secret-service-pyc-0.1_git20250705-r1.apk  20-Oct-2025 13:57               29287
hiprompt-gtk-py-0.8.0-r1.apk                       17-Sep-2025 10:03                8233
hitide-0.15.0-r0.apk                               25-Oct-2024 20:06             2159207
hitide-openrc-0.15.0-r0.apk                        25-Oct-2024 20:06                2165
homebank-5.9.5-r0.apk                              14-Oct-2025 14:54             2127447
homebank-lang-5.9.5-r0.apk                         14-Oct-2025 14:54              965069
horizon-0.9.6-r9.apk                               25-Oct-2024 20:06              203369
horizon-dbg-0.9.6-r9.apk                           25-Oct-2024 20:06             4223323
horizon-dev-0.9.6-r9.apk                           25-Oct-2024 20:06                4996
horizon-doc-0.9.6-r9.apk                           25-Oct-2024 20:06               21964
horizon-image-0.9.6-r9.apk                         25-Oct-2024 20:06               64581
horizon-tools-0.9.6-r9.apk                         25-Oct-2024 20:06               73457
hping3-20051105-r4.apk                             25-Oct-2024 20:06               69445
hping3-doc-20051105-r4.apk                         25-Oct-2024 20:06               17086
hpnssh-18.8.0-r0.apk                               28-Nov-2025 19:39             2957658
hpnssh-doc-18.8.0-r0.apk                           28-Nov-2025 19:39              103927
hsetroot-1.0.5-r1.apk                              25-Oct-2024 20:06               11515
hstdb-2.1.0-r2.apk                                 25-Oct-2024 20:06              921151
htmlcxx-0.87-r1.apk                                25-Oct-2024 20:06               59024
htmlcxx-dev-0.87-r1.apk                            25-Oct-2024 20:06               21117
httpie-oauth-1.0.2-r9.apk                          25-Oct-2024 20:06                3444
httpie-oauth-pyc-1.0.2-r9.apk                      25-Oct-2024 20:06                2363
httplz-2.2.0-r0.apk                                18-May-2025 15:39             1384355
httplz-doc-2.2.0-r0.apk                            18-May-2025 15:39                2308
httpx-1.7.4-r0.apk                                 10-Dec-2025 10:25            17764608
httpx-doc-1.7.4-r0.apk                             10-Dec-2025 10:25                2334
httrack-3.49.2-r5.apk                              25-Oct-2024 20:06              765115
httrack-doc-3.49.2-r5.apk                          25-Oct-2024 20:06              540627
hub-2.14.2-r36.apk                                 04-Dec-2025 13:54             3032293
hub-bash-completion-2.14.2-r36.apk                 04-Dec-2025 13:54                4699
hub-doc-2.14.2-r36.apk                             04-Dec-2025 13:54               42992
hub-fish-completion-2.14.2-r36.apk                 04-Dec-2025 13:54                3387
hub-zsh-completion-2.14.2-r36.apk                  04-Dec-2025 13:54                3801
hubble-cli-0.13.6-r10.apk                          04-Dec-2025 13:54            18411398
hubble-cli-bash-completion-0.13.6-r10.apk          04-Dec-2025 13:54                5190
hubble-cli-fish-completion-0.13.6-r10.apk          04-Dec-2025 13:54                4436
hubble-cli-zsh-completion-0.13.6-r10.apk           04-Dec-2025 13:54                4149
hunspell-ca-es-3.0.7-r0.apk                        25-Oct-2024 20:06              748798
hunspell-fr-7.7-r0.apk                             17-Dec-2025 20:06             1027333
hunspell-fr-doc-7.7-r0.apk                         17-Dec-2025 20:06                2921
hurl-7.1.0-r0.apk                                  05-Dec-2025 18:41             2549409
hurl-bash-completion-7.1.0-r0.apk                  05-Dec-2025 18:41                2370
hurl-doc-7.1.0-r0.apk                              05-Dec-2025 18:41                9375
hurl-fish-completion-7.1.0-r0.apk                  05-Dec-2025 18:41                3710
hurl-zsh-completion-7.1.0-r0.apk                   05-Dec-2025 18:41                4208
hw-probe-1.6.6-r2.apk                              30-Jun-2025 07:14              126785
hwatch-0.3.11-r0.apk                               25-Oct-2024 20:06             1040080
hwatch-doc-0.3.11-r0.apk                           25-Oct-2024 20:06                3088
hwatch-fish-completion-0.3.11-r0.apk               25-Oct-2024 20:06                1835
hwatch-zsh-completion-0.3.11-r0.apk                25-Oct-2024 20:06                1949
hx-1.0.15-r0.apk                                   25-Oct-2024 20:06               14576
hx-doc-1.0.15-r0.apk                               25-Oct-2024 20:06                4899
hyfetch-2.0.5-r0.apk                               21-Nov-2025 17:56              894189
hyfetch-bash-completion-2.0.5-r0.apk               21-Nov-2025 17:56                3412
hyfetch-doc-2.0.5-r0.apk                           21-Nov-2025 17:56               20075
hyfetch-zsh-completion-2.0.5-r0.apk                21-Nov-2025 17:56                2628
hyperrogue-13.1i-r0.apk                            19-Dec-2025 03:52            87189667
hyperrogue-doc-13.1i-r0.apk                        19-Dec-2025 03:52                8172
hypnotix-3.5-r0.apk                                25-Oct-2024 20:06              112680
hypnotix-lang-3.5-r0.apk                           25-Oct-2024 20:06               74120
hypridle-0.1.7-r1.apk                              14-Dec-2025 10:57              120092
hypridle-doc-0.1.7-r1.apk                          14-Dec-2025 10:57                2452
hypridle-openrc-0.1.7-r1.apk                       14-Dec-2025 10:57                1744
hyprlock-0.9.2-r0.apk                              14-Dec-2025 10:57              406609
hyprpicker-0.4.5-r1.apk                            14-Dec-2025 10:57              105109
hyprpicker-doc-0.4.5-r1.apk                        14-Dec-2025 10:57                3911
hyprsunset-0.3.3-r1.apk                            14-Dec-2025 10:57              130751
hyprsunset-doc-0.3.3-r1.apk                        14-Dec-2025 10:57                2488
hyprsunset-openrc-0.3.3-r1.apk                     14-Dec-2025 10:57                1803
hyx-2024.02.29-r0.apk                              25-Oct-2024 20:06               17485
hyx-doc-2024.02.29-r0.apk                          25-Oct-2024 20:06                2293
i2util-4.2.1-r1.apk                                25-Oct-2024 20:06               23162
i2util-dev-4.2.1-r1.apk                            25-Oct-2024 20:06               46329
i2util-doc-4.2.1-r1.apk                            25-Oct-2024 20:06                4827
i3bar-river-1.1.0-r1.apk                           29-Oct-2025 22:52              533431
i3bar-river-openrc-1.1.0-r1.apk                    29-Oct-2025 22:52                1753
i3bar-river-systemd-1.1.0-r1.apk                   29-Oct-2025 22:52                1922
i3status-rust-0.34.0-r0.apk                        24-Jul-2025 01:27             4810516
i3status-rust-doc-0.34.0-r0.apk                    24-Jul-2025 01:27               33925
ibus-typing-booster-2.29.0-r0.apk                  16-Dec-2025 14:54            13288282
ibus-typing-booster-lang-2.29.0-r0.apk             16-Dec-2025 14:54              324482
ibus-typing-booster-pyc-2.29.0-r0.apk              16-Dec-2025 14:54             1527806
icesprog-0_git20240108-r1.apk                      25-Oct-2024 20:06                8937
icesprog-udev-0_git20240108-r1.apk                 25-Oct-2024 20:06                1941
icestorm-0_git20240517-r0.apk                      25-Oct-2024 20:06            17330414
icingaweb2-module-businessprocess-2.5.2-r0.apk     29-Sep-2025 06:12              112669
icingaweb2-module-businessprocess-doc-2.5.2-r0.apk 29-Sep-2025 06:12             2142083
icingaweb2-module-fileshipper-1.2.0-r3.apk         29-Sep-2025 06:12               10830
icingaweb2-module-fileshipper-doc-1.2.0-r3.apk     29-Sep-2025 06:12              236135
icingaweb2-module-generictts-2.1.0-r0.apk          29-Sep-2025 06:12                6453
icingaweb2-module-generictts-doc-2.1.0-r0.apk      29-Sep-2025 06:12                1836
icingaweb2-module-pnp-1.1.0-r1.apk                 29-Sep-2025 06:12                8921
icingaweb2-module-pnp-doc-1.1.0-r1.apk             29-Sep-2025 06:12                1829
identities-0.2.2-r0.apk                            18-Oct-2025 15:40               17430
identme-0.6.0-r0.apk                               03-Apr-2025 12:33               47838
idesk-1-r1.apk                                     25-Oct-2024 20:06               65491
ideviceinstaller-1.1.1-r4.apk                      30-Oct-2024 22:44               14859
ideviceinstaller-doc-1.1.1-r4.apk                  30-Oct-2024 22:44                2511
idevicerestore-1.0.0_git20250914-r0.apk            07-Oct-2025 21:03              105386
idevicerestore-doc-1.0.0_git20250914-r0.apk        07-Oct-2025 21:03                3493
ifuse-1.1.4-r5.apk                                 30-Oct-2024 22:44               10058
ifuse-doc-1.1.4-r5.apk                             30-Oct-2024 22:44                2396
igrep-1.2.0-r0.apk                                 25-Oct-2024 20:06             1679059
igrep-doc-1.2.0-r0.apk                             25-Oct-2024 20:06                4231
ijq-1.2.0-r2.apk                                   04-Dec-2025 13:54             1490235
ijq-doc-1.2.0-r2.apk                               04-Dec-2025 13:54                3678
imapfilter-2.8.2-r0.apk                            25-Oct-2024 20:06               37679
imapfilter-doc-2.8.2-r0.apk                        25-Oct-2024 20:06               13115
imapgoose-0.4.1-r1.apk                             04-Dec-2025 13:54             2618833
imapgoose-doc-0.4.1-r1.apk                         04-Dec-2025 13:54                5830
imapgoose-openrc-0.4.1-r1.apk                      04-Dec-2025 13:54                1772
imapgoose-systemd-0.4.1-r1.apk                     04-Dec-2025 13:54                1821
imediff-2.6-r1.apk                                 25-Oct-2024 20:06               42581
imediff-doc-2.6-r1.apk                             25-Oct-2024 20:06                6687
imediff-pyc-2.6-r1.apk                             25-Oct-2024 20:06               44707
imgdiff-1.0.2-r31.apk                              04-Dec-2025 13:54             1038556
imgdiff-doc-1.0.2-r31.apk                          04-Dec-2025 13:54                2312
imrsh-0_git20210320-r1.apk                         25-Oct-2024 20:06                8409
imrsh-dbg-0_git20210320-r1.apk                     25-Oct-2024 20:06               19980
incus-ui-canonical-0.18.0-r0.apk                   22-Aug-2025 12:17             4879484
infnoise-0.3.3-r0.apk                              26-May-2025 08:58               15170
infnoise-doc-0.3.3-r0.apk                          26-May-2025 08:58                4246
infnoise-openrc-0.3.3-r0.apk                       26-May-2025 08:58                1769
initify-0_git20171210-r1.apk                       25-Oct-2024 20:06                3362
innernet-1.6.1-r0.apk                              25-Oct-2024 20:06             2937437
innernet-bash-completion-1.6.1-r0.apk              25-Oct-2024 20:06                3889
innernet-doc-1.6.1-r0.apk                          25-Oct-2024 20:06                9225
innernet-fish-completion-1.6.1-r0.apk              25-Oct-2024 20:06                4617
innernet-openrc-1.6.1-r0.apk                       25-Oct-2024 20:06                2330
innernet-zsh-completion-1.6.1-r0.apk               25-Oct-2024 20:06                5556
interception-tools-0.6.8-r3.apk                    12-Oct-2025 14:19              100279
interception-tools-openrc-0.6.8-r3.apk             12-Oct-2025 14:19                1736
invidtui-0.4.6-r10.apk                             04-Dec-2025 13:54             4237727
ip2location-8.6.1-r0.apk                           25-Oct-2024 20:06               22796
ip2location-dev-8.6.1-r0.apk                       25-Oct-2024 20:06               12429
ip2location-doc-8.6.1-r0.apk                       25-Oct-2024 20:06                2777
ipp-usb-0.9.30-r5.apk                              04-Dec-2025 13:54             2632951
ipp-usb-doc-0.9.30-r5.apk                          04-Dec-2025 13:54                9029
ipp-usb-openrc-0.9.30-r5.apk                       04-Dec-2025 13:54                1771
iprange-1.0.4-r1.apk                               25-Oct-2024 20:06               22127
iprange-doc-1.0.4-r1.apk                           25-Oct-2024 20:06                4644
irccd-4.0.3-r0.apk                                 25-Oct-2024 20:06              248874
irccd-dev-4.0.3-r0.apk                             25-Oct-2024 20:06                9829
irccd-doc-4.0.3-r0.apk                             25-Oct-2024 20:06               82280
irccd-openrc-4.0.3-r0.apk                          25-Oct-2024 20:06                1840
ircd-hybrid-8.2.47-r0.apk                          05-Apr-2025 04:01              336862
ircd-hybrid-doc-8.2.47-r0.apk                      05-Apr-2025 04:01                3700
ircdog-0.5.4-r10.apk                               04-Dec-2025 13:54             2584444
isoinfo-0_git20131217-r1.apk                       25-Oct-2024 20:06                6501
isomd5sum-1.2.5-r0.apk                             15-Jul-2025 08:40               19079
isomd5sum-doc-1.2.5-r0.apk                         15-Jul-2025 08:40                3044
it87-src-1_p20240609-r0.apk                        25-Oct-2024 20:06               30404
jack_capture-0.9.73_git20210429-r2.apk             25-Oct-2024 20:06               32402
jackal-0.64.0-r20.apk                              04-Dec-2025 13:54            12299995
jackal-openrc-0.64.0-r20.apk                       04-Dec-2025 13:54                1879
jackdaw-0.3.1-r2.apk                               29-May-2025 11:57             2072847
jackdaw-pyc-0.3.1-r2.apk                           29-May-2025 11:57              372899
jalv-1.6.8-r1.apk                                  25-Oct-2024 20:06               47427
jalv-doc-1.6.8-r1.apk                              25-Oct-2024 20:06                3229
jalv-gtk-1.6.8-r1.apk                              25-Oct-2024 20:06               32356
jaq-2.3.0-r0.apk                                   24-Jul-2025 01:27              803010
jaq-doc-2.3.0-r0.apk                               24-Jul-2025 01:27                2289
java-gdcm-3.2.2-r3.apk                             02-Dec-2025 04:28              604841
java-jtharness-6.0_p12-r0.apk                      25-Oct-2024 20:06             4213432
java-jtharness-doc-6.0_p12-r0.apk                  25-Oct-2024 20:06               11842
java-jtharness-examples-6.0_p12-r0.apk             25-Oct-2024 20:06              224130
jbigkit-2.1-r2.apk                                 25-Oct-2024 20:06               66584
jbigkit-dev-2.1-r2.apk                             25-Oct-2024 20:06               29614
jbigkit-doc-2.1-r2.apk                             25-Oct-2024 20:06                7514
jdebp-redo-1.4-r1.apk                              25-Oct-2024 20:06               91173
jdebp-redo-doc-1.4-r1.apk                          25-Oct-2024 20:06               12410
jdupes-1.28.0-r0.apk                               25-Oct-2024 20:06               29906
jdupes-doc-1.28.0-r0.apk                           25-Oct-2024 20:06                9207
jedi-language-server-0.45.1-r0.apk                 29-Apr-2025 19:46               32512
jedi-language-server-pyc-0.45.1-r0.apk             29-Apr-2025 19:46               50937
jfrog-cli-2.45.0-r17.apk                           04-Dec-2025 13:54             9418269
jhead-3.08-r0.apk                                  25-Oct-2024 20:06               34320
jhead-doc-3.08-r0.apk                              25-Oct-2024 20:06                8065
jotdown-0.7.0-r0.apk                               11-Mar-2025 20:12              238860
jrsonnet-cli-0.4.2-r1.apk                          25-Oct-2024 20:06              586211
jsmn-1.1.0-r2.apk                                  25-Oct-2024 20:06                4811
json2tsv-1.2-r0.apk                                25-Oct-2024 20:06                6806
json2tsv-doc-1.2-r0.apk                            25-Oct-2024 20:06                5369
json2tsv-jaq-1.2-r0.apk                            25-Oct-2024 20:06                1951
json2tsv-jaq-doc-1.2-r0.apk                        25-Oct-2024 20:06                2389
jsonnet-bundler-0.6.0-r10.apk                      04-Dec-2025 13:54             3408283
jsonnet-language-server-0.16.0-r1.apk              04-Dec-2025 13:54             4464834
junit2html-31.0.2-r0.apk                           25-Oct-2024 20:06               17134
junit2html-pyc-31.0.2-r0.apk                       25-Oct-2024 20:06               24187
jwt-cli-6.2.0-r0.apk                               14-Dec-2024 18:18              867378
k3sup-0.13.6-r10.apk                               04-Dec-2025 13:54             2831525
k3sup-bash-completion-0.13.6-r10.apk               04-Dec-2025 13:54                5140
k3sup-fish-completion-0.13.6-r10.apk               04-Dec-2025 13:54                4373
k3sup-zsh-completion-0.13.6-r10.apk                04-Dec-2025 13:54                4096
kabmat-2.7.0-r0.apk                                25-Oct-2024 20:06               55807
kabmat-doc-2.7.0-r0.apk                            25-Oct-2024 20:06                3620
kapow-0.7.1-r18.apk                                04-Dec-2025 13:54             3757633
katana-1.2.2-r3.apk                                04-Dec-2025 13:54            14444068
katana-doc-1.2.2-r3.apk                            04-Dec-2025 13:54                2315
katarakt-0.2-r1.apk                                29-Jan-2025 19:00               81564
kbs2-0.7.3-r0.apk                                  30-Jul-2025 07:29             1292844
kbs2-bash-completion-0.7.3-r0.apk                  30-Jul-2025 07:29                3309
kbs2-fish-completion-0.7.3-r0.apk                  30-Jul-2025 07:29                3527
kbs2-zsh-completion-0.7.3-r0.apk                   30-Jul-2025 07:29                4141
kcbench-0.9.13-r0.apk                              23-Oct-2025 20:58               38086
kcbench-doc-0.9.13-r0.apk                          23-Oct-2025 20:58               20782
kdiskmark-3.2.0-r0.apk                             15-Jul-2025 17:20              173629
kdiskmark-lang-3.2.0-r0.apk                        15-Jul-2025 17:20               32587
kerberoast-0.2.0-r2.apk                            29-May-2025 11:57                9549
kerberoast-pyc-0.2.0-r2.apk                        29-May-2025 11:57               15421
kew-3.6.4-r0.apk                                   21-Oct-2025 05:32              511007
kew-doc-3.6.4-r0.apk                               21-Oct-2025 05:32                3719
keybase-client-6.2.8-r15.apk                       04-Dec-2025 13:54            18396655
keystone-0.9.2-r6.apk                              25-Oct-2024 20:06             1490892
keystone-dev-0.9.2-r6.apk                          25-Oct-2024 20:06                7481
keystone-python-0.9.2-r6.apk                       25-Oct-2024 20:06             1684616
keystone-python-pyc-0.9.2-r6.apk                   25-Oct-2024 20:06                9904
kfc-0.1.4-r0.apk                                   25-Oct-2024 20:06               59113
khinsider-2.0.7-r25.apk                            04-Dec-2025 13:54             3619447
khronos-4.0.1-r0.apk                               25-Oct-2024 20:06               49672
khronos-lang-4.0.1-r0.apk                          25-Oct-2024 20:06               26325
kimchi-3.0.0-r8.apk                                10-Feb-2025 21:13              541594
kimchi-lang-3.0.0-r8.apk                           10-Feb-2025 21:13              176029
kimchi-pyc-3.0.0-r8.apk                            10-Feb-2025 21:13              487506
kine-0.10.1-r18.apk                                04-Dec-2025 13:54             8129495
kine-doc-0.10.1-r18.apk                            04-Dec-2025 13:54                5278
kirc-0.3.3-r0.apk                                  22-Feb-2025 11:09               15620
kirc-doc-0.3.3-r0.apk                              22-Feb-2025 11:09                2844
kismet-0.202509.1-r0.apk                           09-Oct-2025 04:08            12414570
kismet-linux-bluetooth-0.202509.1-r0.apk           09-Oct-2025 04:08               43908
kismet-linux-wifi-0.202509.1-r0.apk                09-Oct-2025 04:08               63271
kismet-logtools-0.202509.1-r0.apk                  09-Oct-2025 04:08             1107331
kismet-nrf-51822-0.202509.1-r0.apk                 09-Oct-2025 04:08               41959
kismet-nxp-kw41z-0.202509.1-r0.apk                 09-Oct-2025 04:08               43514
kjv-0_git20221103-r0.apk                           25-Oct-2024 20:06             1615529
klong-20221212-r0.apk                              07-Jun-2025 15:16              339767
kmscon-9.1.0-r1.apk                                30-Oct-2025 14:14              853603
kmscon-doc-9.1.0-r1.apk                            30-Oct-2025 14:14                8599
kmscon-systemd-9.1.0-r1.apk                        30-Oct-2025 14:14                2767
knative-client-1.19.6-r1.apk                       04-Dec-2025 13:54            23975261
knative-client-bash-completion-1.19.6-r1.apk       04-Dec-2025 13:54               10396
knative-client-fish-completion-1.19.6-r1.apk       04-Dec-2025 13:54                4410
knative-client-zsh-completion-1.19.6-r1.apk        04-Dec-2025 13:54                4134
knxd-0.14.61-r1.apk                                14-Dec-2024 18:56              382466
knxd-dev-0.14.61-r1.apk                            14-Dec-2024 18:56               24156
ko-0.17.1-r10.apk                                  04-Dec-2025 13:54            10598082
ko-bash-completion-0.17.1-r10.apk                  04-Dec-2025 13:54                5161
ko-fish-completion-0.17.1-r10.apk                  04-Dec-2025 13:54                4397
ko-zsh-completion-0.17.1-r10.apk                   04-Dec-2025 13:54                4115
komikku-1.85.0-r0.apk                              22-Aug-2025 08:07              454762
komikku-lang-1.85.0-r0.apk                         22-Aug-2025 08:07              291116
komikku-pyc-1.85.0-r0.apk                          22-Aug-2025 08:07              816749
kompose-1.31.2-r15.apk                             04-Dec-2025 13:54             7568822
kompose-bash-completion-1.31.2-r15.apk             04-Dec-2025 13:54                5725
kompose-fish-completion-1.31.2-r15.apk             04-Dec-2025 13:54                4478
kompose-zsh-completion-1.31.2-r15.apk              04-Dec-2025 13:54                6940
kondo-0.8-r0.apk                                   25-Oct-2024 20:06              705270
kondo-bash-completion-0.8-r0.apk                   25-Oct-2024 20:06                2127
kondo-fish-completion-0.8-r0.apk                   25-Oct-2024 20:06                2122
kondo-zsh-completion-0.8-r0.apk                    25-Oct-2024 20:06                2492
kraftkit-0.12.3-r1.apk                             04-Dec-2025 13:54            21215881
kraftkit-bash-completion-0.12.3-r1.apk             04-Dec-2025 13:54                6253
kraftkit-doc-0.12.3-r1.apk                         04-Dec-2025 13:54               74956
kraftkit-fish-completion-0.12.3-r1.apk             04-Dec-2025 13:54                4429
kraftkit-zsh-completion-0.12.3-r1.apk              04-Dec-2025 13:54                4141
kronosnet-1.32-r0.apk                              27-Dec-2025 09:47               73076
kronosnet-dev-1.32-r0.apk                          27-Dec-2025 09:47             2530263
kronosnet-doc-1.32-r0.apk                          27-Dec-2025 09:47              110633
ktx-4.3.2-r1.apk                                   10-Jun-2025 02:49             1200311
ktx-dev-4.3.2-r1.apk                               10-Jun-2025 02:49               29608
ktx-libs-4.3.2-r1.apk                              10-Jun-2025 02:49             1348938
kube-no-trouble-0.7.3-r10.apk                      04-Dec-2025 13:54            14133803
kubeconform-0.7.0-r3.apk                           04-Dec-2025 13:54             3808261
kubepug-1.7.1-r15.apk                              04-Dec-2025 13:54            17044527
kubepug-bash-completion-1.7.1-r15.apk              04-Dec-2025 13:54                5250
kubepug-fish-completion-1.7.1-r15.apk              04-Dec-2025 13:54                4440
kubepug-zsh-completion-1.7.1-r15.apk               04-Dec-2025 13:54                4149
kubeseal-0.32.1-r2.apk                             04-Dec-2025 13:54            12073960
kubeseal-doc-0.32.1-r2.apk                         04-Dec-2025 13:54                5657
kubesplit-0.3.3-r1.apk                             25-Oct-2024 20:06               12974
kubesplit-pyc-0.3.3-r1.apk                         25-Oct-2024 20:06               13271
lavacli-2.4-r0.apk                                 15-Jun-2025 04:01               50508
lavacli-doc-2.4-r0.apk                             15-Jun-2025 04:01               34986
lavacli-pyc-2.4-r0.apk                             15-Jun-2025 04:01               90281
laze-0.1.38-r0.apk                                 24-Jul-2025 01:27             1142387
laze-bash-completion-0.1.38-r0.apk                 24-Jul-2025 01:27                3222
laze-doc-0.1.38-r0.apk                             24-Jul-2025 01:27                3684
laze-fish-completion-0.1.38-r0.apk                 24-Jul-2025 01:27                3522
laze-zsh-completion-0.1.38-r0.apk                  24-Jul-2025 01:27                3767
lbb-0.10.4-r1.apk                                  04-Dec-2025 13:54             3355391
lbb-doc-0.10.4-r1.apk                              04-Dec-2025 13:54               20766
lcalc-2.1.0-r0.apk                                 03-May-2025 08:31              174185
lcalc-dev-2.1.0-r0.apk                             03-May-2025 08:31               52593
lcalc-doc-2.1.0-r0.apk                             03-May-2025 08:31              447257
lcalc-libs-2.1.0-r0.apk                            03-May-2025 08:31              238154
ldapdomaindump-0.10.0-r0.apk                       30-Jul-2025 19:18               18680
ldapdomaindump-pyc-0.10.0-r0.apk                   30-Jul-2025 19:18               31086
ledmon-1.0.0-r0.apk                                25-Oct-2024 20:06               77346
ledmon-doc-1.0.0-r0.apk                            25-Oct-2024 20:06               14414
lefthook-2.0.4-r1.apk                              04-Dec-2025 13:54             6034875
lefthook-doc-2.0.4-r1.apk                          04-Dec-2025 13:54                2300
legume-1.4.2-r14.apk                               04-Dec-2025 13:54             1548476
legume-doc-1.4.2-r14.apk                           04-Dec-2025 13:54               12617
leptosfmt-0.1.33-r0.apk                            25-Mar-2025 15:06             1059249
leptosfmt-doc-0.1.33-r0.apk                        25-Mar-2025 15:06                6188
levmar-dev-2.6-r0.apk                              25-Oct-2024 20:06               46789
lfm-3.1-r4.apk                                     25-Oct-2024 20:06               90240
lfm-doc-3.1-r4.apk                                 25-Oct-2024 20:06                2831
lfm-pyc-3.1-r4.apk                                 25-Oct-2024 20:06              136925
lgogdownloader-3.18-r0.apk                         31-Dec-2025 21:56              367718
lgogdownloader-doc-3.18-r0.apk                     31-Dec-2025 21:56                8659
libabigail-2.8-r0.apk                              02-Nov-2025 19:50              958602
libabigail-bash-completion-2.8-r0.apk              02-Nov-2025 19:50                2891
libabigail-dev-2.8-r0.apk                          02-Nov-2025 19:50             1687026
libabigail-doc-2.8-r0.apk                          02-Nov-2025 19:50               78657
libabigail-tools-2.8-r0.apk                        02-Nov-2025 19:50              117132
libantlr3c-3.4-r3.apk                              25-Oct-2024 20:06               38926
libantlr3c-dev-3.4-r3.apk                          25-Oct-2024 20:06               59776
libantlr4-4.13.2-r0.apk                            12-Dec-2024 12:30              406941
libantlr4-dev-4.13.2-r0.apk                        12-Dec-2024 12:30              883654
libaudec-0.3.4-r3.apk                              25-Oct-2024 20:06               28948
libaudec-dev-0.3.4-r3.apk                          25-Oct-2024 20:06                4360
libaudec-static-0.3.4-r3.apk                       25-Oct-2024 20:06               30623
libaudec-tools-0.3.4-r3.apk                        25-Oct-2024 20:06               29109
libb64-2.0.0.1-r0.apk                              25-Oct-2024 20:06                4637
libb64-dev-2.0.0.1-r0.apk                          25-Oct-2024 20:06                5654
libb64-doc-2.0.0.1-r0.apk                          25-Oct-2024 20:06                8229
libbamf-0.5.6-r1.apk                               25-Oct-2024 20:06              136220
libbamf-dev-0.5.6-r1.apk                           25-Oct-2024 20:06                6545
libbamf-doc-0.5.6-r1.apk                           25-Oct-2024 20:06               31801
libbraiding-1.3.1-r0.apk                           19-Nov-2024 22:34               80462
libbraiding-dev-1.3.1-r0.apk                       19-Nov-2024 22:34               15430
libbsoncxx-3.8.0-r0.apk                            25-Oct-2024 20:06               43137
libbsoncxx-dev-3.8.0-r0.apk                        25-Oct-2024 20:06               39584
libcec-rpi-6.0.2-r4.apk                            25-Oct-2024 20:06              173704
libcec-rpi-dev-6.0.2-r4.apk                        25-Oct-2024 20:06               25184
libcli-1.10.7-r0.apk                               25-Oct-2024 20:06               26399
libcob4-3.2-r0.apk                                 28-Jul-2025 14:02              211402
libcork-0.15.0-r7.apk                              25-Oct-2024 20:06               29365
libcork-dev-0.15.0-r7.apk                          25-Oct-2024 20:06               30689
libcork-tools-0.15.0-r7.apk                        25-Oct-2024 20:06                4683
libcorkipset-1.1.1-r4.apk                          25-Oct-2024 20:06               11448
libcorkipset-dev-1.1.1-r4.apk                      25-Oct-2024 20:06                8271
libcorkipset-tools-1.1.1-r4.apk                    25-Oct-2024 20:06               11885
libcotp-3.1.1-r0.apk                               03-Oct-2025 22:25                8132
libcotp-dev-3.1.1-r0.apk                           03-Oct-2025 22:25                2588
libcpdf-2.8.1-r0.apk                               14-May-2025 21:14             2368864
libcpdf-dev-2.8.1-r0.apk                           14-May-2025 21:14               17149
libcpdf-static-2.8.1-r0.apk                        14-May-2025 21:14             3397663
libctl-4.5.1-r1.apk                                25-Oct-2024 20:06               89072
libctl-dev-4.5.1-r1.apk                            25-Oct-2024 20:06               39479
libctl-doc-4.5.1-r1.apk                            25-Oct-2024 20:06                3091
libcyaml-1.4.2-r0.apk                              25-Oct-2024 20:06               19165
libcyaml-dev-1.4.2-r0.apk                          25-Oct-2024 20:06               13165
libcyaml-doc-1.4.2-r0.apk                          25-Oct-2024 20:06                8853
libcyaml-static-1.4.2-r0.apk                       25-Oct-2024 20:06               21947
libdbusaccess-1.0.20-r1.apk                        08-Aug-2025 23:40               15663
libdbusaccess-dev-1.0.20-r1.apk                    08-Aug-2025 23:40                5116
libdcmtk-3.7.0-r0.apk                              28-Dec-2025 20:54             6838526
libdng-0.2.1-r0.apk                                27-Dec-2024 22:09               10998
libdng-dev-0.2.1-r0.apk                            27-Dec-2024 22:09                3274
libdng-doc-0.2.1-r0.apk                            27-Dec-2024 22:09                4298
libdng-utils-0.2.1-r0.apk                          27-Dec-2024 22:09                5989
libeantic-2.1.0-r2.apk                             26-Nov-2025 08:23               75498
libeantic-dev-2.1.0-r2.apk                         26-Nov-2025 08:23               17926
libecap-1.0.1-r1.apk                               25-Oct-2024 20:06               13593
libecap-dev-1.0.1-r1.apk                           25-Oct-2024 20:06               11698
libecap-static-1.0.1-r1.apk                        25-Oct-2024 20:06               19272
libemf2svg-1.1.0-r3.apk                            07-Oct-2025 21:03              144434
libemf2svg-utils-1.1.0-r3.apk                      07-Oct-2025 21:03               17354
liberasurecode-1.6.3-r1.apk                        25-Oct-2024 20:06               36765
liberasurecode-dev-1.6.3-r1.apk                    25-Oct-2024 20:06               18703
libetebase-0.5.8-r0.apk                            27-Sep-2025 19:40              896130
libetebase-dev-0.5.8-r0.apk                        27-Sep-2025 19:40               11148
libettercap-0.8.3.1-r3.apk                         25-Oct-2024 20:06              198641
libfishsound-1.0.0-r1.apk                          25-Oct-2024 20:06                8102
libfishsound-dev-1.0.0-r1.apk                      25-Oct-2024 20:06               55296
libfishsound-doc-1.0.0-r1.apk                      25-Oct-2024 20:06               77126
libfoma-0.10.0_git20240712-r0.apk                  25-Oct-2024 20:06               98310
libfort-0.4.2-r0.apk                               25-Oct-2024 20:06               29735
libfort-dev-0.4.2-r0.apk                           25-Oct-2024 20:06               17848
libgdcm-3.2.2-r3.apk                               02-Dec-2025 04:28             2890699
libgivaro-4.2.0-r2.apk                             25-Oct-2024 20:06               75330
libgivaro-dev-4.2.0-r2.apk                         25-Oct-2024 20:06              250285
libgivaro-static-4.2.0-r2.apk                      25-Oct-2024 20:06               96818
libglib-testing-0.1.1-r0.apk                       14-May-2025 21:14               12328
libglib-testing-dev-0.1.1-r0.apk                   14-May-2025 21:14                5648
libglib-testing-doc-0.1.1-r0.apk                   14-May-2025 21:14               25620
libgrapheme-2.0.2-r0.apk                           24-Jul-2025 01:27               23433
libgrapheme-dev-2.0.2-r0.apk                       24-Jul-2025 01:27               31098
libgrapheme-doc-2.0.2-r0.apk                       24-Jul-2025 01:27               21148
libguestfs-1.56.1-r0.apk                           24-Jul-2025 01:27              352933
libguestfs-dev-1.56.1-r0.apk                       24-Jul-2025 01:27               30124
libguestfs-doc-1.56.1-r0.apk                       24-Jul-2025 01:27              582202
libguestfs-static-1.56.1-r0.apk                    24-Jul-2025 01:27              486345
libhomfly-1.02_p6-r1.apk                           25-Oct-2024 20:06               14303
libhomfly-dev-1.02_p6-r1.apk                       25-Oct-2024 20:06               17275
libhwpwm-0.4.4-r0.apk                              25-Oct-2024 20:06                6105
libhwpwm-dev-0.4.4-r0.apk                          25-Oct-2024 20:06                5508
libhwpwm-doc-0.4.4-r0.apk                          25-Oct-2024 20:06               13334
libideviceactivation-1.1.1-r5.apk                  30-Oct-2024 22:44               16168
libideviceactivation-dev-1.1.1-r5.apk              30-Oct-2024 22:44                3391
libideviceactivation-doc-1.1.1-r5.apk              30-Oct-2024 22:44                2230
libigraph-1.0.1-r0.apk                             30-Dec-2025 13:36             1688828
libigraph-dev-1.0.1-r0.apk                         30-Dec-2025 13:36               91867
libiio-0.25-r2.apk                                 25-Oct-2024 20:06               48104
libiio-dev-0.25-r2.apk                             25-Oct-2024 20:06               13673
libiio-doc-0.25-r2.apk                             25-Oct-2024 20:06               18289
libiio-pyc-0.25-r2.apk                             25-Oct-2024 20:06               21454
libiio-tools-0.25-r2.apk                           25-Oct-2024 20:06               72302
libinfnoise-0.3.3-r0.apk                           26-May-2025 08:58               13935
libirecovery-1.3.0-r0.apk                          07-Oct-2025 21:03               26463
libirecovery-dev-1.3.0-r0.apk                      07-Oct-2025 21:03                4255
libirecovery-progs-1.3.0-r0.apk                    07-Oct-2025 21:03                8489
libiscsi-1.19.0-r2.apk                             25-Oct-2024 20:06               57323
libiscsi-dev-1.19.0-r2.apk                         25-Oct-2024 20:06               20898
libiscsi-doc-1.19.0-r2.apk                         25-Oct-2024 20:06                9505
libiscsi-static-1.19.0-r2.apk                      25-Oct-2024 20:06               71946
libiscsi-utils-1.19.0-r2.apk                       25-Oct-2024 20:06               83580
libjodycode-3.1.1-r0.apk                           25-Oct-2024 20:06                7516
libjodycode-dev-3.1.1-r0.apk                       25-Oct-2024 20:06                4314
libjodycode-doc-3.1.1-r0.apk                       25-Oct-2024 20:06                3752
liblastfm-qt-1.1.10_git20190823-r3.apk             25-Oct-2024 20:06              155635
liblastfm-qt-dev-1.1.10_git20190823-r3.apk         25-Oct-2024 20:06               20093
libm4ri-20240729-r2.apk                            15-Jan-2025 18:06              134811
libm4ri-dev-20240729-r2.apk                        15-Jan-2025 18:06               32268
libm4ri-static-20240729-r2.apk                     15-Jan-2025 18:06              145091
libm4rie-20200125-r5.apk                           15-Jan-2025 18:06              228161
libm4rie-dev-20200125-r5.apk                       15-Jan-2025 18:06               24981
libm4rie-static-20200125-r5.apk                    15-Jan-2025 18:06              241993
libmdbx-0.11.8-r0.apk                              25-Oct-2024 20:06              738894
libmdbx-dbg-0.11.8-r0.apk                          25-Oct-2024 20:06             2417513
libmdbx-dev-0.11.8-r0.apk                          25-Oct-2024 20:06               95428
libmdbx-doc-0.11.8-r0.apk                          25-Oct-2024 20:06                9063
libmdf-1.0.29-r0.apk                               25-Oct-2024 20:06               35594
libmdf-dev-1.0.29-r0.apk                           25-Oct-2024 20:06               14149
libmhash-0.9.9.9-r3.apk                            25-Oct-2024 20:06              103126
libmhash-dev-0.9.9.9-r3.apk                        25-Oct-2024 20:06              115602
libmhash-doc-0.9.9.9-r3.apk                        25-Oct-2024 20:06                8346
libmpfi-1.5.4-r2.apk                               25-Oct-2024 20:06               28652
libmpfi-dev-1.5.4-r2.apk                           25-Oct-2024 20:06                5485
libmpfi-doc-1.5.4-r2.apk                           25-Oct-2024 20:06               19175
libmpfi-static-1.5.4-r2.apk                        25-Oct-2024 20:06               42509
libmrss-0.19.2-r1.apk                              25-Oct-2024 20:06               18862
libmrss-dev-0.19.2-r1.apk                          25-Oct-2024 20:06               29879
libmustache-0.5.0-r1.apk                           25-Oct-2024 20:06               83182
libmygpo-qt-1.1.0-r2.apk                           25-Oct-2024 20:06               70092
libmygpo-qt-dev-1.1.0-r2.apk                       25-Oct-2024 20:06               12140
libmysofa-1.3.2-r0.apk                             25-Oct-2024 20:06               25372
libmysofa-dev-1.3.2-r0.apk                         25-Oct-2024 20:06                7163
libmysofa-tools-1.3.2-r0.apk                       25-Oct-2024 20:06             1122124
libnest2d-0.4-r7.apk                               06-Feb-2025 04:42                1243
libnest2d-dev-0.4-r7.apk                           06-Feb-2025 04:42               71545
libnfcdef-1.0.1-r1.apk                             08-Aug-2025 23:40               11749
libnfcdef-dev-1.0.1-r1.apk                         08-Aug-2025 23:40                5868
libnih-1.0.3-r7.apk                                25-Oct-2024 20:06              122711
libnih-dev-1.0.3-r7.apk                            25-Oct-2024 20:06              119671
libnih-doc-1.0.3-r7.apk                            25-Oct-2024 20:06                2771
libntl-11.6.0-r0.apk                               08-Nov-2025 07:13             1084810
libntl-dev-11.6.0-r0.apk                           08-Nov-2025 07:13              160829
libntl-doc-11.6.0-r0.apk                           08-Nov-2025 07:13              374303
libntl-static-11.6.0-r0.apk                        08-Nov-2025 07:13             1633581
libnxml-0.18.3-r0.apk                              25-Oct-2024 20:06               19946
libnxml-dev-0.18.3-r0.apk                          25-Oct-2024 20:06               28947
libofx-0.10.9-r1.apk                               25-Oct-2024 20:06               63790
libofx-dev-0.10.9-r1.apk                           25-Oct-2024 20:06               20033
libofx-tools-0.10.9-r1.apk                         25-Oct-2024 20:06              106284
liboggz-1.1.1-r2.apk                               25-Oct-2024 20:06              120452
liboggz-dev-1.1.1-r2.apk                           25-Oct-2024 20:06              158607
liboggz-doc-1.1.1-r2.apk                           25-Oct-2024 20:06              137454
libqd-2.3.24-r0.apk                                25-Oct-2024 20:06              150890
libqd-dev-2.3.24-r0.apk                            25-Oct-2024 20:06               59133
libqd-doc-2.3.24-r0.apk                            25-Oct-2024 20:06              186813
libqd-static-2.3.24-r0.apk                         25-Oct-2024 20:06              241299
libqtdbusmock-0.9.1-r2.apk                         17-Feb-2025 12:07               64868
libqtdbustest-0.4.0-r0.apk                         03-Sep-2025 19:33               28996
libre-4.1.0-r0.apk                                 03-Oct-2025 07:59              261370
libre-dev-4.1.0-r0.apk                             03-Oct-2025 07:59              436199
libreoffice-voikko-5.0_git20200127-r0.apk          25-Oct-2024 20:06               46460
librespot-0.8.0-r0.apk                             19-Nov-2025 18:22             2482305
librespot-openrc-0.8.0-r0.apk                      19-Nov-2025 18:22                1914
libresprite-1.2-r0.apk                             13-Apr-2025 22:16            15377837
libresprite-doc-1.2-r0.apk                         13-Apr-2025 22:16               15073
libretro-atari800-0_git20240924-r0.apk             25-Oct-2024 20:06              275290
libretro-beetle-pce-fast-0_git20220205-r0.apk      25-Oct-2024 20:06              351040
libretro-beetle-pcfx-0_git20220409-r0.apk          25-Oct-2024 20:06              287707
libretro-beetle-saturn-0_git20220417-r0.apk        25-Oct-2024 20:06             1479893
libretro-beetle-supergrafx-0_git20220218-r0.apk    25-Oct-2024 20:06              360867
libretro-bluemsx-0_git20240808-r0.apk              25-Oct-2024 20:06              604477
libretro-cannonball-0_git20220309-r6.apk           25-Oct-2024 20:06              228269
libretro-cap32-0_git20220419-r0.apk                25-Oct-2024 20:06              300413
libretro-crocods-0_git20210314-r1.apk              25-Oct-2024 20:06              264514
libretro-daphne-0_git20210108-r2.apk               25-Oct-2024 20:06              575032
libretro-dinothawr-0_git20220401-r0.apk            25-Oct-2024 20:06              122095
libretro-fbneo-0_git20220416-r0.apk                25-Oct-2024 20:06            10155389
libretro-freeintv-0_git20220319-r0.apk             25-Oct-2024 20:06               33605
libretro-frodo-0_git20221221-r0.apk                25-Oct-2024 20:06              150414
libretro-fuse-0_git20220417-r0.apk                 25-Oct-2024 20:06              861182
libretro-genesis-plus-gx-0_git20230503-r0.apk      25-Oct-2024 20:06              853290
libretro-gme-0_git20240628-r0.apk                  25-Oct-2024 20:06              177399
libretro-gong-0_git20220319-r0.apk                 25-Oct-2024 20:06                8794
libretro-gw-0_git20220410-r0.apk                   25-Oct-2024 20:06              165651
libretro-mame2000-0_git20240701-r0.apk             25-Oct-2024 20:06             2739507
libretro-mame2003-0_git20240904-r0.apk             25-Oct-2024 20:06             7013131
libretro-mu-0_git20220317-r0.apk                   25-Oct-2024 20:06              147792
libretro-neocd-0_git20220325-r1.apk                25-Oct-2024 20:06              395884
libretro-nxengine-0_git20220301-r0.apk             25-Oct-2024 20:06              277754
libretro-openlara-0_git20210121-r0.apk             25-Oct-2024 20:06              468187
libretro-opera-0_git20211214-r0.apk                25-Oct-2024 20:06              165104
libretro-parallel-n64-0_git20220406-r0.apk         25-Oct-2024 20:06              885655
libretro-picodrive-0_git20220405-r0.apk            25-Oct-2024 20:06              450133
libretro-pocketcdg-0_git20220327-r0.apk            25-Oct-2024 20:06               84061
libretro-ppsspp-0_git20210516-r15.apk              10-Apr-2025 20:24             2462505
libretro-scummvm-0_git20210325-r0.apk              25-Oct-2024 20:06            18692091
libretro-snes9x-0_git20240819-r0.apk               25-Oct-2024 20:06              704254
libretro-theodore-3.1-r0.apk                       25-Oct-2024 20:06              891593
libretro-tyrquake-0_git20220409-r0.apk             25-Oct-2024 20:06              376996
libretro-xrick-0_git20220331-r0.apk                25-Oct-2024 20:06              113903
libsbsms-2.3.0-r0.apk                              25-Oct-2024 20:06               97369
libsbsms-dev-2.3.0-r0.apk                          25-Oct-2024 20:06              129530
libsds-2.0.0-r1.apk                                25-Oct-2024 20:06                9861
libsds-dev-2.0.0-r1.apk                            25-Oct-2024 20:06                3870
libsemanage-3.6-r1.apk                             25-Oct-2024 20:06               91317
libsemanage-dev-3.6-r1.apk                         25-Oct-2024 20:06              140441
libsemanage-doc-3.6-r1.apk                         25-Oct-2024 20:06               23464
libsemigroups-2.7.3-r1.apk                         14-Dec-2024 18:56              624817
libsemigroups-dev-2.7.3-r1.apk                     14-Dec-2024 18:56              342534
libsemigroups-static-2.7.3-r1.apk                  14-Dec-2024 18:56             1469660
libserialport-0.1.1-r1.apk                         25-Oct-2024 20:06               22442
libserialport-dev-0.1.1-r1.apk                     25-Oct-2024 20:06               39692
libsigrok-0.5.2-r3.apk                             25-Oct-2024 20:06              490169
libsigrok-dev-0.5.2-r3.apk                         25-Oct-2024 20:06               31409
libsigrokdecode-0.5.3-r4.apk                       25-Oct-2024 20:06              341304
libsigrokdecode-dev-0.5.3-r4.apk                   25-Oct-2024 20:06               37885
libsimpleble-0.10.3-r0.apk                         15-Jul-2025 08:22              189551
libsimpleble-c-0.10.3-r0.apk                       15-Jul-2025 08:22               14544
libsimplebluez-0.10.3-r0.apk                       15-Jul-2025 08:22              135394
libsirocco-2.1.1-r0.apk                            31-Aug-2025 17:16               57079
libsirocco-dev-2.1.1-r0.apk                        31-Aug-2025 17:16                2250
libspatialindex-2.1.0-r1.apk                       10-Nov-2025 04:37              314344
libspatialindex-dev-2.1.0-r1.apk                   10-Nov-2025 04:37               21934
libstirshaken-0_git20240208-r4.apk                 29-Apr-2025 19:46               53717
libstirshaken-dev-0_git20240208-r4.apk             29-Apr-2025 19:46               83113
libstirshaken-tools-0_git20240208-r4.apk           29-Apr-2025 19:46              162649
libtatsu-1.0.5-r0.apk                              07-Oct-2025 21:03               16821
libtatsu-dev-1.0.5-r0.apk                          07-Oct-2025 21:03               23002
libtins-4.5-r2.apk                                 15-Oct-2025 08:21              292338
libtins-dev-4.5-r2.apk                             15-Oct-2025 08:21              141109
libtins-doc-4.5-r2.apk                             15-Oct-2025 08:21                2384
libtsm-4.1.0-r0.apk                                08-Jul-2025 06:01               25252
libtsm-dev-4.1.0-r0.apk                            08-Jul-2025 06:01               11236
libucl-0.9.0-r0.apk                                25-Oct-2024 20:06               52770
libucl-dev-0.9.0-r0.apk                            25-Oct-2024 20:06               79388
libucl-doc-0.9.0-r0.apk                            25-Oct-2024 20:06                9047
libuecc-7-r4.apk                                   03-Mar-2025 16:08                9098
libuecc-dev-7-r4.apk                               03-Mar-2025 16:08                4745
libuninameslist-20230916-r0.apk                    25-Oct-2024 20:06              435374
libuninameslist-dev-20230916-r0.apk                25-Oct-2024 20:06                3526
libuninameslist-doc-20230916-r0.apk                25-Oct-2024 20:06                2067
libupstart-2.0.3-r5.apk                            25-Oct-2024 20:06               74127
libvalkey-0.2.1-r0.apk                             17-Nov-2025 11:56               57114
libvalkey-dev-0.2.1-r0.apk                         17-Nov-2025 11:56              316279
libvalkey-tls-0.2.1-r0.apk                         17-Nov-2025 11:56                6170
libvdpau-va-gl-0.4.2-r0.apk                        25-Oct-2024 20:06               52557
libvisio2svg-0.5.5-r3.apk                          25-Oct-2024 20:06               13692
libvisio2svg-dev-0.5.5-r3.apk                      25-Oct-2024 20:06                2989
libvisio2svg-utils-0.5.5-r3.apk                    25-Oct-2024 20:06              111975
libvmaf-3.0.0-r0.apk                               25-Oct-2024 20:06              332313
libvmaf-dev-3.0.0-r0.apk                           25-Oct-2024 20:06              194107
libvoikko-4.3.2-r1.apk                             25-Oct-2024 20:06              119062
libvoikko-dev-4.3.2-r1.apk                         25-Oct-2024 20:06               10145
libvoikko-doc-4.3.2-r1.apk                         25-Oct-2024 20:06                5862
libwbxml-0.11.8-r0.apk                             25-Oct-2024 20:06               62936
libwbxml-dev-0.11.8-r0.apk                         25-Oct-2024 20:06                9175
libwbxml-doc-0.11.8-r0.apk                         25-Oct-2024 20:06               28946
libwhich-1.2.0-r0.apk                              25-Oct-2024 20:06                4762
libwmiclient-1.3.16-r5.apk                         25-Oct-2024 20:06                1487
libwmiclient-dev-1.3.16-r5.apk                     25-Oct-2024 20:06                1735
libxml++-5.4.0-r0.apk                              13-Feb-2025 07:45               62553
libxml++-dev-5.4.0-r0.apk                          13-Feb-2025 07:45               30073
libxo-1.7.5-r0.apk                                 12-Jan-2025 22:45              179321
libxo-dev-1.7.5-r0.apk                             12-Jan-2025 22:45               73527
libxo-doc-1.7.5-r0.apk                             12-Jan-2025 22:45               64323
libzrtpcpp-4.7.0-r0.apk                            04-Jan-2025 21:55              167763
libzrtpcpp-dev-4.7.0-r0.apk                        04-Jan-2025 21:55               38765
libzvbi-0.2.44-r0.apk                              11-Mar-2025 20:40              227011
libzvbi-dev-0.2.44-r0.apk                          11-Mar-2025 20:40               14650
libzvbi-static-0.2.44-r0.apk                       11-Mar-2025 20:40              280418
licenseheaders-0.8.8-r4.apk                        25-Oct-2024 20:06               18319
licenseheaders-pyc-0.8.8-r4.apk                    25-Oct-2024 20:06               18852
limnoria-20240828-r0.apk                           25-Oct-2024 20:06             1130318
limnoria-doc-20240828-r0.apk                       25-Oct-2024 20:06                8599
limnoria-pyc-20240828-r0.apk                       25-Oct-2024 20:06             1274955
linkchecker-10.6.0-r0.apk                          10-Aug-2025 11:38              185444
linkchecker-doc-10.6.0-r0.apk                      10-Aug-2025 11:38               40004
linkchecker-pyc-10.6.0-r0.apk                      10-Aug-2025 11:38              259754
linphone-5.3.38-r0.apk                             25-Oct-2024 20:06             9439879
linphone-dev-5.3.38-r0.apk                         25-Oct-2024 20:06              255714
linphone-libs-5.3.38-r0.apk                        25-Oct-2024 20:06             2731061
linux-apfs-rw-src-0.3.8-r0.apk                     25-Oct-2024 20:06              201774
linux-gpib-4.3.7-r0.apk                            06-Dec-2025 23:07               59008
linux-gpib-dev-4.3.7-r0.apk                        06-Dec-2025 23:07                7851
linux-gpib-doc-4.3.7-r0.apk                        06-Dec-2025 23:07              334205
linux-gpib-udev-4.3.7-r0.apk                       06-Dec-2025 23:07                2687
linux-timemachine-1.3.2-r0.apk                     25-Oct-2024 20:06                5176
linuxptp-4.4-r0.apk                                19-Nov-2024 22:34                1245
linuxptp-doc-4.4-r0.apk                            19-Nov-2024 22:34               39366
linuxptp-hwstamp_ctl-4.4-r0.apk                    19-Nov-2024 22:34                4469
linuxptp-nsm-4.4-r0.apk                            19-Nov-2024 22:34               36157
linuxptp-phc2sys-4.4-r0.apk                        19-Nov-2024 22:34               41298
linuxptp-phc_ctl-4.4-r0.apk                        19-Nov-2024 22:34               11042
linuxptp-pmc-4.4-r0.apk                            19-Nov-2024 22:34               39510
linuxptp-ptp4l-4.4-r0.apk                          19-Nov-2024 22:34               85430
linuxptp-timemaster-4.4-r0.apk                     19-Nov-2024 22:34               15803
linuxptp-ts2phc-4.4-r0.apk                         19-Nov-2024 22:34               40189
linuxptp-tz2alt-4.4-r0.apk                         19-Nov-2024 22:34               21432
liquibase-4.9.1-r0.apk                             25-Oct-2024 20:06            33161796
liquibase-doc-4.9.1-r0.apk                         25-Oct-2024 20:06               58256
litehtml-0.9-r2.apk                                17-Feb-2025 12:07              287698
litehtml-dev-0.9-r2.apk                            17-Feb-2025 12:07               42649
litehtml-static-0.9-r2.apk                         17-Feb-2025 12:07              496648
litterbox-1.9-r2.apk                               13-Sep-2025 01:00               32335
litterbox-doc-1.9-r2.apk                           13-Sep-2025 01:00                7379
lizardfs-3.13.0-r17.apk                            19-Jun-2025 08:34              106710
lizardfs-bash-completion-3.13.0-r17.apk            19-Jun-2025 08:34                1933
lizardfs-cgi-3.13.0-r17.apk                        19-Jun-2025 08:34               32214
lizardfs-cgiserv-3.13.0-r17.apk                    19-Jun-2025 08:34                7546
lizardfs-cgiserv-openrc-3.13.0-r17.apk             19-Jun-2025 08:34                2020
lizardfs-chunkserver-3.13.0-r17.apk                19-Jun-2025 08:34              302313
lizardfs-chunkserver-openrc-3.13.0-r17.apk         19-Jun-2025 08:34                1697
lizardfs-client-3.13.0-r17.apk                     19-Jun-2025 08:34             1035887
lizardfs-doc-3.13.0-r17.apk                        19-Jun-2025 08:34               11719
lizardfs-master-3.13.0-r17.apk                     19-Jun-2025 08:34              792883
lizardfs-master-openrc-3.13.0-r17.apk              19-Jun-2025 08:34                1686
lizardfs-metalogger-3.13.0-r17.apk                 19-Jun-2025 08:34              124496
lizardfs-metalogger-openrc-3.13.0-r17.apk          19-Jun-2025 08:34                1689
lkrg-0.9.6-r1.apk                                  09-Jan-2025 11:44              107246
lkrg-doc-0.9.6-r1.apk                              09-Jan-2025 11:44               22088
llmnrd-0.7-r1.apk                                  25-Oct-2024 20:06               17934
llmnrd-doc-0.7-r1.apk                              25-Oct-2024 20:06                3108
llmnrd-openrc-0.7-r1.apk                           25-Oct-2024 20:06                1935
lockrun-1.1.3-r1.apk                               25-Oct-2024 20:06                5454
log4cpp-1.1.4-r1.apk                               25-Oct-2024 20:06               70624
log4cpp-dev-1.1.4-r1.apk                           25-Oct-2024 20:06               39836
log4cxx-1.1.0-r3.apk                               17-Feb-2025 12:07              482439
log4cxx-dev-1.1.0-r3.apk                           17-Feb-2025 12:07              138445
logc-0.5.0-r1.apk                                  13-Jun-2025 14:20                7471
logc-argp-0.5.0-r1.apk                             13-Jun-2025 14:20               15283
logc-config-0.5.0-r1.apk                           13-Jun-2025 14:20                4932
logc-czmq-0.1.0-r0.apk                             25-Oct-2024 20:06                3963
logc-dev-0.5.0-r1.apk                              13-Jun-2025 14:20                8798
logc-libevent-0.1.0-r0.apk                         25-Oct-2024 20:06                3350
logc-libs-0.1.0-r0.apk                             25-Oct-2024 20:06                1466
logc-libs-dev-0.1.0-r0.apk                         25-Oct-2024 20:06                5557
logtop-0.7-r1.apk                                  08-Aug-2025 23:40               12450
logtop-doc-0.7-r1.apk                              08-Aug-2025 23:40                2915
logtop-libs-0.7-r1.apk                             08-Aug-2025 23:40               13493
lol-html-1.1.1-r1.apk                              25-Oct-2024 20:06              452991
lol-html-dev-1.1.1-r1.apk                          25-Oct-2024 20:06                6671
lolcat-1.4-r0.apk                                  25-Oct-2024 20:06                9936
lomiri-action-api-1.2.1-r0.apk                     25-Oct-2025 20:19               74960
lomiri-action-api-dev-1.2.1-r0.apk                 25-Oct-2025 20:19                5077
lomiri-api-0.2.3-r0.apk                            25-Oct-2025 20:19               31097
lomiri-api-dev-0.2.3-r0.apk                        25-Oct-2025 20:19               32897
lomiri-app-launch-0.1.12-r5.apk                    18-Dec-2025 14:07              316815
lomiri-app-launch-dev-0.1.12-r5.apk                18-Dec-2025 14:07               20557
lomiri-download-manager-0.3.0-r0.apk               10-Dec-2025 10:25              553893
lomiri-download-manager-dev-0.3.0-r0.apk           10-Dec-2025 10:25               17308
lomiri-download-manager-doc-0.3.0-r0.apk           10-Dec-2025 10:25             3030476
lomiri-download-manager-lang-0.3.0-r0.apk          10-Dec-2025 10:25               30841
lomiri-history-service-0.6-r20.apk                 19-Dec-2025 11:18              334487
lomiri-history-service-dev-0.6-r20.apk             19-Dec-2025 11:18               11385
lomiri-location-service-3.3.0-r5.apk               17-Nov-2025 12:54             2067618
lomiri-location-service-dev-3.3.0-r5.apk           17-Nov-2025 12:54               30563
lomiri-location-service-doc-3.3.0-r5.apk           17-Nov-2025 12:54                2950
lomiri-location-service-lang-3.3.0-r5.apk          17-Nov-2025 12:54               26408
lomiri-location-service-systemd-3.3.0-r5.apk       17-Nov-2025 12:54                2187
lomiri-notifications-1.3.1-r0.apk                  10-Jan-2025 10:05               90101
lomiri-schemas-0.1.9-r0.apk                        01-Oct-2025 17:03               10660
lomiri-settings-components-1.1.3-r0.apk            25-Oct-2025 20:19              222477
lomiri-settings-components-lang-1.1.3-r0.apk       25-Oct-2025 20:19              110220
lomiri-sounds-25.01-r0.apk                         19-Aug-2025 20:07            18837782
lomiri-thumbnailer-3.1.0-r0.apk                    10-Dec-2025 10:25              208846
lomiri-thumbnailer-dev-3.1.0-r0.apk                10-Dec-2025 10:25                5224
lomiri-thumbnailer-doc-3.1.0-r0.apk                10-Dec-2025 10:25              126586
lomiri-trust-store-2.0.2-r14.apk                   18-Dec-2025 14:07              921504
lomiri-trust-store-dev-2.0.2-r14.apk               18-Dec-2025 14:07                9349
lomiri-trust-store-lang-2.0.2-r14.apk              18-Dec-2025 14:07               28384
lomiri-url-dispatcher-0.1.4-r0.apk                 14-May-2025 21:14               37131
lomiri-url-dispatcher-dev-0.1.4-r0.apk             14-May-2025 21:14                3385
lomiri-url-dispatcher-lang-0.1.4-r0.apk            14-May-2025 21:14               26562
lotide-0.15.0-r0.apk                               25-Oct-2024 20:06             3780586
lotide-openrc-0.15.0-r0.apk                        25-Oct-2024 20:06                3171
lout-3.42.2-r0.apk                                 25-Oct-2024 20:06             1461133
lout-doc-3.42.2-r0.apk                             25-Oct-2024 20:06              463392
lowjs-1.6.2-r2.apk                                 25-Oct-2024 20:06             1394098
lowjs-doc-1.6.2-r2.apk                             25-Oct-2024 20:06                3041
lrcalc-2.1-r1.apk                                  25-Oct-2024 20:06               11017
lrcalc-dev-2.1-r1.apk                              25-Oct-2024 20:06               11606
lrcalc-libs-2.1-r1.apk                             25-Oct-2024 20:06               23523
lsdvd-0.17-r0.apk                                  25-Oct-2024 20:06               13586
lsdvd-doc-0.17-r0.apk                              25-Oct-2024 20:06                2525
lshell-0.9.18-r12.apk                              05-Sep-2025 06:56               37087
lshell-doc-0.9.18-r12.apk                          05-Sep-2025 06:56               25709
lshell-pyc-0.9.18-r12.apk                          05-Sep-2025 06:56               35894
lsix-1.8.2-r0.apk                                  25-Oct-2024 20:06                6643
lspmux-0.3.0-r0.apk                                05-Nov-2025 14:23             1037228
lspmux-doc-0.3.0-r0.apk                            05-Nov-2025 14:23                6787
lua-editorconfig-0.3.0-r0.apk                      25-Oct-2024 20:06                1227
lua-fn-0.1.0-r0.apk                                25-Oct-2024 20:06                3460
lua-inet-0.2.0-r1.apk                              25-Oct-2024 20:06                9350
lua-lcurses-9.0.0-r0.apk                           25-Oct-2024 20:06                1215
lua-libmodbus-0.6.1-r0.apk                         25-Oct-2024 20:06                1209
lua-libmodbus-doc-0.6.1-r0.apk                     25-Oct-2024 20:06               19562
lua-linenoise-0.9-r1.apk                           25-Oct-2024 20:06                1196
lua-luastatic-0.0.12-r1.apk                        25-Oct-2024 20:06                1498
lua-lupa-1.0-r0.apk                                25-Oct-2024 20:06               20041
lua-lut-1.2.1-r0.apk                               25-Oct-2024 20:06               90803
lua-psl-0.3-r0.apk                                 25-Oct-2024 20:06                1159
lua-resty-redis-0.29-r0.apk                        25-Oct-2024 20:06                5453
lua-resty-upload-0.11-r0.apk                       25-Oct-2024 20:06                3700
lua-xml-1.1.3-r2.apk                               25-Oct-2024 20:06                1465
lua5.1-lcurses-9.0.0-r0.apk                        25-Oct-2024 20:06               22854
lua5.1-libguestfs-1.56.1-r0.apk                    24-Jul-2025 01:27               78973
lua5.1-libmodbus-0.6.1-r0.apk                      25-Oct-2024 20:06                9112
lua5.1-linenoise-0.9-r1.apk                        25-Oct-2024 20:06               16228
lua5.1-luacov-0.15.0-r0.apk                        25-Oct-2024 20:06               23842
lua5.1-luacov-html-1.0.0-r1.apk                    25-Oct-2024 20:06              422630
lua5.1-luastatic-0.0.12-r1.apk                     25-Oct-2024 20:06               69722
lua5.1-psl-0.3-r0.apk                              25-Oct-2024 20:06                5522
lua5.1-ubus-2025.10.17-r0.apk                      25-Oct-2025 12:57                9323
lua5.1-xml-1.1.3-r2.apk                            25-Oct-2024 20:06               22618
lua5.2-editorconfig-0.3.0-r0.apk                   25-Oct-2024 20:06                4227
lua5.2-libmodbus-0.6.1-r0.apk                      25-Oct-2024 20:06                9100
lua5.2-linenoise-0.9-r1.apk                        25-Oct-2024 20:06               16268
lua5.2-luacov-0.15.0-r0.apk                        25-Oct-2024 20:06               23842
lua5.2-luacov-html-1.0.0-r1.apk                    25-Oct-2024 20:06              422662
lua5.2-luastatic-0.0.12-r1.apk                     25-Oct-2024 20:06                9004
lua5.2-psl-0.3-r0.apk                              25-Oct-2024 20:06                5425
lua5.2-ubus-2025.10.17-r0.apk                      25-Oct-2025 12:57                9328
lua5.2-xml-1.1.3-r2.apk                            25-Oct-2024 20:06               22529
lua5.3-editorconfig-0.3.0-r0.apk                   25-Oct-2024 20:06                4281
lua5.3-linenoise-0.9-r1.apk                        25-Oct-2024 20:06               16270
lua5.3-luacov-0.15.0-r0.apk                        25-Oct-2024 20:06               23847
lua5.3-luacov-html-1.0.0-r1.apk                    25-Oct-2024 20:06              422673
lua5.3-luastatic-0.0.12-r1.apk                     25-Oct-2024 20:06                9046
lua5.3-psl-0.3-r0.apk                              25-Oct-2024 20:06                5471
lua5.4-editorconfig-0.3.0-r0.apk                   25-Oct-2024 20:06                4276
lua5.4-linenoise-0.9-r1.apk                        25-Oct-2024 20:06               16256
lua5.4-luacov-0.15.0-r0.apk                        25-Oct-2024 20:06               23852
lua5.4-luastatic-0.0.12-r1.apk                     25-Oct-2024 20:06                9123
luacov-0.15.0-r0.apk                               25-Oct-2024 20:06                1484
luacov-html-1.0.0-r1.apk                           25-Oct-2024 20:06                1244
luapak-0.1.0_beta5-r0.apk                          25-Oct-2024 20:06               36151
luksmeta-9-r0.apk                                  25-Oct-2024 20:06               12810
luksmeta-dev-9-r0.apk                              25-Oct-2024 20:06                3171
luksmeta-doc-9-r0.apk                              25-Oct-2024 20:06                5614
lumina-desktop-1.6.2-r0.apk                        25-Oct-2024 20:06                1267
lumina-desktop-archiver-1.6.2-r0.apk               25-Oct-2024 20:06              160625
lumina-desktop-core-1.6.2-r0.apk                   25-Oct-2024 20:06             9277207
lumina-desktop-coreutils-1.6.2-r0.apk              25-Oct-2024 20:06              804940
lumina-desktop-doc-1.6.2-r0.apk                    25-Oct-2024 20:06               11781
lumina-desktop-fileinfo-1.6.2-r0.apk               25-Oct-2024 20:06              153958
lumina-desktop-fm-1.6.2-r0.apk                     25-Oct-2024 20:06              381999
lumina-desktop-mediaplayer-1.6.2-r0.apk            25-Oct-2024 20:06              194648
lumina-desktop-photo-1.6.2-r0.apk                  25-Oct-2024 20:06              120044
lumina-desktop-screenshot-1.6.2-r0.apk             25-Oct-2024 20:06              159683
lumina-desktop-sudo-1.6.2-r0.apk                   25-Oct-2024 20:06               91702
lumina-desktop-textedit-1.6.2-r0.apk               25-Oct-2024 20:06              185750
lumins-0.4.0-r2.apk                                25-Oct-2024 20:06              681341
lutgen-1.0.1-r0.apk                                19-Nov-2025 18:45             1981038
lutgen-bash-completion-1.0.1-r0.apk                19-Nov-2025 18:45                1782
lutgen-doc-1.0.1-r0.apk                            19-Nov-2025 18:45                4564
lutgen-fish-completion-1.0.1-r0.apk                19-Nov-2025 18:45                1827
lutgen-zsh-completion-1.0.1-r0.apk                 19-Nov-2025 18:45                1750
lutris-0.5.19-r1.apk                               16-Sep-2025 18:08              838860
lutris-doc-0.5.19-r1.apk                           16-Sep-2025 18:08                2402
lutris-lang-0.5.19-r1.apk                          16-Sep-2025 18:08              829934
lutris-pyc-0.5.19-r1.apk                           16-Sep-2025 18:08             1187321
lv_font_conv-1.5.3-r0.apk                          19-Aug-2025 19:54             1181741
lv_font_conv-doc-1.5.3-r0.apk                      19-Aug-2025 19:54                5097
lxappearance-0.6.3-r3.apk                          25-Oct-2024 20:06               29359
lxappearance-dev-0.6.3-r3.apk                      25-Oct-2024 20:06                3318
lxappearance-doc-0.6.3-r3.apk                      25-Oct-2024 20:06                2677
lxappearance-lang-0.6.3-r3.apk                     25-Oct-2024 20:06               82431
lynis-3.1.4-r0.apk                                 29-Jul-2025 08:11              282293
lynis-bash-completion-3.1.4-r0.apk                 29-Jul-2025 08:11                3042
lynis-doc-3.1.4-r0.apk                             29-Jul-2025 08:11               51401
lyrics-in-terminal-1.7.0-r0.apk                    03-Jan-2025 10:09               38978
m2r2-0.3.3-r3.apk                                  25-Oct-2024 20:06               12802
m2r2-pyc-0.3.3-r3.apk                              25-Oct-2024 20:06               15977
macchina-6.4.0-r0.apk                              24-Jul-2025 01:27             1037969
macchina-doc-6.4.0-r0.apk                          24-Jul-2025 01:27                5803
mage-1.13.0-r23.apk                                14-May-2025 21:14             1611831
magpie-0.9.4-r0.apk                                16-Oct-2025 17:07             1789698
magpie-dev-0.9.4-r0.apk                            16-Oct-2025 17:07              252199
magpie-lang-0.9.4-r0.apk                           16-Oct-2025 17:07              869851
maildir-rank-addr-1.4.1-r1.apk                     04-Dec-2025 13:54             3491176
maildir-rank-addr-doc-1.4.1-r1.apk                 04-Dec-2025 13:54                2333
maildir2rss-0.0.7-r10.apk                          04-Dec-2025 13:54             3777000
mailsec-check-0_git20210729-r31.apk                04-Dec-2025 13:54             2756671
makeclapman-2.4.4-r10.apk                          04-Dec-2025 13:54             1393711
makeclapman-doc-2.4.4-r10.apk                      04-Dec-2025 13:54                4245
makedumpfile-1.7.8-r0.apk                          05-Nov-2025 14:24              176638
makedumpfile-doc-1.7.8-r0.apk                      05-Nov-2025 14:24               24242
makedumpfile-openrc-1.7.8-r0.apk                   05-Nov-2025 14:24                3219
makeself-2.5.0-r0.apk                              25-Oct-2024 20:06               13310
malcontent-0.13.1-r0.apk                           03-Sep-2025 10:35              158874
malcontent-dev-0.13.1-r0.apk                       03-Sep-2025 10:35               24539
malcontent-doc-0.13.1-r0.apk                       03-Sep-2025 10:35               45804
mame-0.251-r0.apk                                  25-Oct-2024 20:06            92407874
mame-arcade-0.251-r0.apk                           25-Oct-2024 20:06            63774985
mame-common-0.251-r0.apk                           25-Oct-2024 20:06                2718
mame-data-0.251-r0.apk                             25-Oct-2024 20:06            20049130
mame-doc-0.251-r0.apk                              25-Oct-2024 20:06               24642
mame-lang-0.251-r0.apk                             25-Oct-2024 20:06             1495445
mame-mess-0.251-r0.apk                             25-Oct-2024 20:06            49626398
mame-plugins-0.251-r0.apk                          25-Oct-2024 20:06              170317
mame-tools-0.251-r0.apk                            25-Oct-2024 20:06             2504948
mangal-4.0.6-r23.apk                               04-Dec-2025 13:54            10656969
mangal-bash-completion-4.0.6-r23.apk               04-Dec-2025 13:54                5107
mangal-fish-completion-4.0.6-r23.apk               04-Dec-2025 13:54                4013
mangal-zsh-completion-4.0.6-r23.apk                04-Dec-2025 13:54                4095
mangowc-0.10.9-r0.apk                              31-Dec-2025 14:41              114700
mangr0ve-0.1.2-r0.apk                              25-Oct-2024 20:06                2851
mangr0ve-doc-0.1.2-r0.apk                          25-Oct-2024 20:06               14747
manifest-tool-2.2.0-r6.apk                         04-Dec-2025 13:54             4087011
mapnik-4.1.4-r0.apk                                04-Dec-2025 13:54            12249573
mapnik-dev-4.1.4-r0.apk                            04-Dec-2025 13:54              498511
mapnik-doc-4.1.4-r0.apk                            04-Dec-2025 13:54              146379
mapserver-8.4.1-r1.apk                             04-Dec-2025 13:54             1382514
mapserver-dev-8.4.1-r1.apk                         04-Dec-2025 13:54              552863
marxan-4.0.7-r1.apk                                25-Oct-2024 20:06              532435
masky-0.2.0-r2.apk                                 29-May-2025 11:57              283519
masky-pyc-0.2.0-r2.apk                             29-May-2025 11:57               65186
mat2-0.13.5-r0.apk                                 16-Sep-2025 19:00               36255
mat2-doc-0.13.5-r0.apk                             16-Sep-2025 19:00                7876
mat2-pyc-0.13.5-r0.apk                             16-Sep-2025 19:00               55792
materia-20210322-r3.apk                            15-Jul-2025 08:22                1711
materia-chromium-20210322-r3.apk                   15-Jul-2025 08:22                5820
materia-compact-20210322-r3.apk                    15-Jul-2025 08:22                1732
materia-compact-chromium-20210322-r3.apk           15-Jul-2025 08:22                5834
materia-compact-gnome-shell-20210322-r3.apk        15-Jul-2025 08:22               30012
materia-compact-gtk2-20210322-r3.apk               15-Jul-2025 08:22               35422
materia-compact-gtk3-20210322-r3.apk               15-Jul-2025 08:22               64416
materia-compact-gtk4-20210322-r3.apk               15-Jul-2025 08:22               43593
materia-dark-20210322-r3.apk                       15-Jul-2025 08:22                1726
materia-dark-chromium-20210322-r3.apk              15-Jul-2025 08:22                5840
materia-dark-compact-20210322-r3.apk               15-Jul-2025 08:22                1747
materia-dark-compact-chromium-20210322-r3.apk      15-Jul-2025 08:22                5858
materia-dark-compact-gnome-shell-20210322-r3.apk   15-Jul-2025 08:22               29999
materia-dark-compact-gtk2-20210322-r3.apk          15-Jul-2025 08:22               35342
materia-dark-compact-gtk3-20210322-r3.apk          15-Jul-2025 08:22               40547
materia-dark-compact-gtk4-20210322-r3.apk          15-Jul-2025 08:22               30111
materia-dark-gnome-shell-20210322-r3.apk           15-Jul-2025 08:22               29904
materia-dark-gtk2-20210322-r3.apk                  15-Jul-2025 08:22               35318
materia-dark-gtk3-20210322-r3.apk                  15-Jul-2025 08:22               40561
materia-dark-gtk4-20210322-r3.apk                  15-Jul-2025 08:22               30115
materia-gnome-shell-20210322-r3.apk                15-Jul-2025 08:22               29905
materia-gtk-theme-20210322-r3.apk                  15-Jul-2025 08:22                2926
materia-gtk2-20210322-r3.apk                       15-Jul-2025 08:22               35392
materia-gtk3-20210322-r3.apk                       15-Jul-2025 08:22               64540
materia-gtk4-20210322-r3.apk                       15-Jul-2025 08:22               43699
mautrix-linkedin-0.2512.0-r0.apk                   16-Dec-2025 18:01             6876185
mautrix-linkedin-doc-0.2512.0-r0.apk               16-Dec-2025 18:01               13522
mautrix-linkedin-openrc-0.2512.0-r0.apk            16-Dec-2025 18:01                2009
mautrix-zulip-0.2511.0-r1.apk                      04-Dec-2025 13:54             6986893
mautrix-zulip-doc-0.2511.0-r1.apk                  04-Dec-2025 13:54               13528
mautrix-zulip-openrc-0.2511.0-r1.apk               04-Dec-2025 13:54                2007
maxima-5.48.1-r9.apk                               22-Aug-2025 10:19            26162283
maxima-bash-completion-5.48.1-r9.apk               22-Aug-2025 10:19                2390
maxima-doc-5.48.1-r9.apk                           22-Aug-2025 10:19              865815
maxima-doc-extra-5.48.1-r9.apk                     22-Aug-2025 10:19            10116319
maxima-emacs-5.48.1-r9.apk                         22-Aug-2025 10:19              113410
mbrola-3.3-r0.apk                                  25-Oct-2024 20:06               20082
mcjoin-2.11-r0.apk                                 25-Oct-2024 20:06               22700
mcjoin-doc-2.11-r0.apk                             25-Oct-2024 20:06               55021
md5ha1-0_git20171202-r1.apk                        25-Oct-2024 20:06                9327
mdbook-admonish-1.20.0-r0.apk                      16-Jun-2025 20:31             1094994
mdbook-alerts-0.8.0-r0.apk                         25-Sep-2025 21:50              756789
mdbook-katex-0.9.4-r0.apk                          17-May-2025 10:45             1227286
mdbook-linkcheck-0.7.7-r0.apk                      16-May-2025 19:17             2829474
mdbook-plantuml-0.8.0-r0.apk                       25-Oct-2024 20:06              956516
mdnsd-0.12-r1.apk                                  25-Oct-2024 20:06               23067
mdnsd-doc-0.12-r1.apk                              25-Oct-2024 20:06               14705
mdnsd-libs-0.12-r1.apk                             25-Oct-2024 20:06               17305
mdnsd-openrc-0.12-r1.apk                           25-Oct-2024 20:06                2186
mdnsd-static-0.12-r1.apk                           25-Oct-2024 20:06               17330
mdp-1.0.18-r0.apk                                  10-Jul-2025 02:28               16107
mdp-doc-1.0.18-r0.apk                              10-Jul-2025 02:28                3964
mediascanner2-0.118-r4.apk                         17-Nov-2025 12:54              251124
mediascanner2-systemd-0.118-r4.apk                 17-Nov-2025 12:54                1836
mediastreamer2-5.3.112-r1.apk                      19-Oct-2025 09:50              346285
mediastreamer2-dev-5.3.112-r1.apk                  19-Oct-2025 09:50              112303
mediastreamer2-doc-5.3.112-r1.apk                  19-Oct-2025 09:50              110296
mediastreamer2-plugin-openh264-5.2.0_git2023102..> 06-Mar-2025 22:31               11222
mediastreamer2-plugin-x264-20200722-r6.apk         25-Oct-2024 20:06                7680
meep-1.31.0-r1.apk                                 19-Aug-2025 13:06              626959
meep-dev-1.31.0-r1.apk                             19-Aug-2025 13:06              517527
megatools-1.11.5.20250706-r0.apk                   30-Jul-2025 15:14               66137
megatools-bash-completion-1.11.5.20250706-r0.apk   30-Jul-2025 15:14                4195
megatools-doc-1.11.5.20250706-r0.apk               30-Jul-2025 15:14               53399
megazeux-2.93d-r0.apk                              10-Jun-2025 13:44             1340226
megazeux-doc-2.93d-r0.apk                          10-Jun-2025 13:44              476455
memdump-1.01-r1.apk                                25-Oct-2024 20:06                5556
memdump-doc-1.01-r1.apk                            25-Oct-2024 20:06                3191
menumaker-0.99.14-r1.apk                           25-Oct-2024 20:06              113684
mergerfs-2.41.1-r0.apk                             13-Dec-2025 15:12              421999
mergerfs-doc-2.41.1-r0.apk                         13-Dec-2025 15:12                3390
mesonlsp-4.3.7-r4.apk                              19-Jun-2025 05:36             2260225
metadata-cleaner-2.5.6-r0.apk                      31-Jan-2025 14:31               50297
metadata-cleaner-doc-2.5.6-r0.apk                  31-Jan-2025 14:31             1994077
metadata-cleaner-lang-2.5.6-r0.apk                 31-Jan-2025 14:31               67489
metalang99-1.13.3-r0.apk                           25-Oct-2024 20:06               55602
microsocks-1.0.5-r0.apk                            21-Dec-2025 23:53                7961
microsocks-doc-1.0.5-r0.apk                        21-Dec-2025 23:53                4039
microsocks-openrc-1.0.5-r0.apk                     21-Dec-2025 23:53                1878
milkytracker-1.04.00-r2.apk                        25-Oct-2024 20:06              995474
milkytracker-doc-1.04.00-r2.apk                    25-Oct-2024 20:06               51606
mimalloc1-1.9.4-r0.apk                             05-Nov-2025 14:28               68703
mimalloc1-debug-1.9.4-r0.apk                       05-Nov-2025 14:28              208250
mimalloc1-dev-1.9.4-r0.apk                         05-Nov-2025 14:28              476074
mimalloc1-insecure-1.9.4-r0.apk                    05-Nov-2025 14:28               65041
mimedefang-3.6-r1.apk                              07-Oct-2025 21:03              162079
mimedefang-doc-3.6-r1.apk                          07-Oct-2025 21:03               82993
mimeo-2023-r2.apk                                  25-Oct-2024 20:06               28826
mimeo-pyc-2023-r2.apk                              25-Oct-2024 20:06               42550
minidyndns-1.3.0-r3.apk                            25-Oct-2024 20:06               11923
minidyndns-doc-1.3.0-r3.apk                        25-Oct-2024 20:06                5229
minidyndns-openrc-1.3.0-r3.apk                     25-Oct-2024 20:06                1891
minigalaxy-1.4.0-r0.apk                            15-Jul-2025 08:22              202006
minigalaxy-pyc-1.4.0-r0.apk                        15-Jul-2025 08:22              137795
minimodem-0.24-r1.apk                              25-Oct-2024 20:06               20456
minimodem-doc-0.24-r1.apk                          25-Oct-2024 20:06                5321
minisatip-1.3.4-r0.apk                             25-Oct-2024 20:06              337076
minisatip-openrc-1.3.4-r0.apk                      25-Oct-2024 20:06                1926
mint-themes-2.3.2-r0.apk                           12-Nov-2025 21:20             1931865
mint-themes-doc-2.3.2-r0.apk                       12-Nov-2025 21:20               14623
mint-x-icons-1.7.5-r0.apk                          01-Dec-2025 06:04            24342556
mint-x-icons-doc-1.7.5-r0.apk                      01-Dec-2025 06:04                8061
mint-x-theme-2.3.2-r0.apk                          12-Nov-2025 21:20                2834
mint-x-theme-gtk2-2.3.2-r0.apk                     12-Nov-2025 21:20              463551
mint-x-theme-gtk3-2.3.2-r0.apk                     12-Nov-2025 21:20              611675
mint-x-theme-gtk4-2.3.2-r0.apk                     12-Nov-2025 21:20              515157
mint-x-theme-metacity-2.3.2-r0.apk                 12-Nov-2025 21:20                6171
mint-x-theme-xfwm4-2.3.2-r0.apk                    12-Nov-2025 21:20               32050
mint-y-icons-1.8.9-r0.apk                          01-Dec-2025 06:04            76529524
mint-y-icons-doc-1.8.9-r0.apk                      01-Dec-2025 06:04               12217
mint-y-theme-2.3.2-r0.apk                          12-Nov-2025 21:20                3629
mint-y-theme-gtk2-2.3.2-r0.apk                     12-Nov-2025 21:20              609089
mint-y-theme-gtk3-2.3.2-r0.apk                     12-Nov-2025 21:20             2076966
mint-y-theme-gtk4-2.3.2-r0.apk                     12-Nov-2025 21:20             1742466
mint-y-theme-metacity-2.3.2-r0.apk                 12-Nov-2025 21:20               56221
mint-y-theme-xfwm4-2.3.2-r0.apk                    12-Nov-2025 21:20              208291
mir-2.25.2-r0.apk                                  18-Dec-2025 14:07             2325054
mir-demos-2.25.2-r0.apk                            18-Dec-2025 14:07              144109
mir-dev-2.25.2-r0.apk                              18-Dec-2025 14:07             8320383
mir-test-tools-2.25.2-r0.apk                       18-Dec-2025 14:07             1208999
miracle-wm-0.8.3-r0.apk                            31-Dec-2025 16:43              457734
miracle-wm-dev-0.8.3-r0.apk                        31-Dec-2025 16:43               15862
mirrorhall-0.1.1-r2.apk                            08-Aug-2025 23:40               26935
mjpg-streamer-0_git20210220-r2.apk                 14-May-2025 21:14              187481
mjpg-streamer-input-raspicam-0_git20210220-r2.apk  14-May-2025 21:14               15689
mkcert-1.4.4-r24.apk                               04-Dec-2025 13:54             1917773
mkdocs-bootstrap-1.1.1-r2.apk                      25-Oct-2024 20:06               29206
mkdocs-bootstrap-pyc-1.1.1-r2.apk                  25-Oct-2024 20:06                1853
mkdocs-bootstrap386-0.0.2-r5.apk                   25-Oct-2024 20:06              810241
mkdocs-bootstrap386-pyc-0.0.2-r5.apk               25-Oct-2024 20:06                1858
mkdocs-bootstrap4-0.1.5-r5.apk                     25-Oct-2024 20:06              266296
mkdocs-bootstrap4-pyc-0.1.5-r5.apk                 25-Oct-2024 20:06                1853
mkdocs-bootswatch-1.1-r5.apk                       25-Oct-2024 20:06              551322
mkdocs-bootswatch-pyc-1.1-r5.apk                   25-Oct-2024 20:06                4836
mkdocs-cinder-1.2.0-r5.apk                         25-Oct-2024 20:06              254598
mkdocs-cinder-pyc-1.2.0-r5.apk                     25-Oct-2024 20:06                1836
mkdocs-cluster-0.0.9-r5.apk                        25-Oct-2024 20:06              666764
mkdocs-cluster-pyc-0.0.9-r5.apk                    25-Oct-2024 20:06                1857
mkdocs-gitbook-0.0.1-r5.apk                        25-Oct-2024 20:06              659591
mkdocs-gitbook-pyc-0.0.1-r5.apk                    25-Oct-2024 20:06                1856
mkdocs-ivory-0.4.6-r5.apk                          25-Oct-2024 20:06               11193
mkdocs-ivory-pyc-0.4.6-r5.apk                      25-Oct-2024 20:06                1845
mkdocs-rtd-dropdown-1.0.2-r5.apk                   25-Oct-2024 20:06              254337
mkdocs-rtd-dropdown-pyc-1.0.2-r5.apk               25-Oct-2024 20:06                1854
mkdocs-windmill-1.0.5-r4.apk                       25-Oct-2024 20:06              966717
mkdocs-windmill-pyc-1.0.5-r4.apk                   25-Oct-2024 20:06                1853
mkdotenv-0.4.9-r2.apk                              04-Dec-2025 13:54              946975
mkg3a-0.5.0-r1.apk                                 25-Oct-2024 20:06               16392
mkg3a-doc-0.5.0-r1.apk                             25-Oct-2024 20:06                3130
mkosi-26-r1.apk                                    27-Dec-2025 17:17              289849
mkosi-doc-26-r1.apk                                27-Dec-2025 17:17               76783
mkosi-pyc-26-r1.apk                                27-Dec-2025 17:17              449006
mkosi-sandbox-26-r1.apk                            27-Dec-2025 17:17               13906
mktorrent-borg-0.9.9-r1.apk                        25-Oct-2024 20:06               10226
mktorrent-borg-doc-0.9.9-r1.apk                    25-Oct-2024 20:06                2550
mlxl-0.1-r0.apk                                    25-Oct-2024 20:06                5676
mm-1.4.2-r1.apk                                    25-Oct-2024 20:06                7269
mm-common-1.0.7-r0.apk                             27-Jun-2025 16:39              424103
mm-common-doc-1.0.7-r0.apk                         27-Jun-2025 16:39               34289
mm-dev-1.4.2-r1.apk                                25-Oct-2024 20:06               12118
mm-doc-1.4.2-r1.apk                                25-Oct-2024 20:06               14657
mmar-0.2.5-r1.apk                                  14-May-2025 21:14             2679168
mmix-0_git20221025-r0.apk                          25-Oct-2024 20:06              181676
mml-1.0.0-r1.apk                                   30-Sep-2025 00:41              900761
mml-bash-completion-1.0.0-r1.apk                   30-Sep-2025 00:41                2313
mml-doc-1.0.0-r1.apk                               30-Sep-2025 00:41                3884
mml-fish-completion-1.0.0-r1.apk                   30-Sep-2025 00:41                2283
mml-zsh-completion-1.0.0-r1.apk                    30-Sep-2025 00:41                2852
mnamer-2.5.5-r1.apk                                25-Oct-2024 20:06               32473
mnamer-pyc-2.5.5-r1.apk                            25-Oct-2024 20:06               61930
mobroute-0.10.0-r5.apk                             04-Dec-2025 13:54             4700425
mobroute-doc-0.10.0-r5.apk                         04-Dec-2025 13:54             1401652
mod_dnssd-0.6-r1.apk                               08-Aug-2025 23:40                8463
modem-manager-gui-0.0.20-r0.apk                    25-Oct-2024 20:06              330517
modem-manager-gui-doc-0.0.20-r0.apk                25-Oct-2024 20:06             4130236
modem-manager-gui-lang-0.0.20-r0.apk               25-Oct-2024 20:06              132370
mods-1.8.1-r3.apk                                  04-Dec-2025 13:54            10475493
mods-doc-1.8.1-r3.apk                              04-Dec-2025 13:54                2310
moe-1.14-r0.apk                                    25-Oct-2024 20:06              104734
moe-doc-1.14-r0.apk                                25-Oct-2024 20:06               19437
moka-icon-theme-5.4.0-r2.apk                       25-Oct-2024 20:06           119267284
monetdb-11.33.11-r4.apk                            25-Oct-2024 20:06             2401661
monetdb-dev-11.33.11-r4.apk                        25-Oct-2024 20:06               78955
monetdb-doc-11.33.11-r4.apk                        25-Oct-2024 20:06              328635
mongo-cxx-driver-3.8.0-r0.apk                      25-Oct-2024 20:06              171034
mongo-cxx-driver-dev-3.8.0-r0.apk                  25-Oct-2024 20:06               90992
monopd-0.10.4-r0.apk                               11-Jan-2025 11:11               91683
monopd-openrc-0.10.4-r0.apk                        11-Jan-2025 11:11                1722
moon-buggy-1.0.51-r1.apk                           25-Oct-2024 20:06               34514
moon-buggy-doc-1.0.51-r1.apk                       25-Oct-2024 20:06                7306
moosefs-4.56.6-r2.apk                              19-Jun-2025 08:34              299052
moosefs-cgi-4.56.6-r2.apk                          19-Jun-2025 08:34              124089
moosefs-cgiserv-4.56.6-r2.apk                      19-Jun-2025 08:34                8007
moosefs-cgiserv-openrc-4.56.6-r2.apk               19-Jun-2025 08:34                2039
moosefs-chunkserver-4.56.6-r2.apk                  19-Jun-2025 08:34              234213
moosefs-chunkserver-openrc-4.56.6-r2.apk           19-Jun-2025 08:34                1721
moosefs-client-4.56.6-r2.apk                       19-Jun-2025 08:34              704386
moosefs-doc-4.56.6-r2.apk                          19-Jun-2025 08:34               97457
moosefs-master-4.56.6-r2.apk                       19-Jun-2025 08:34              386661
moosefs-master-openrc-4.56.6-r2.apk                19-Jun-2025 08:34                1708
moosefs-metalogger-4.56.6-r2.apk                   19-Jun-2025 08:34               41969
moosefs-metalogger-openrc-4.56.6-r2.apk            19-Jun-2025 08:34                1714
moosefs-static-4.56.6-r2.apk                       19-Jun-2025 08:34              734691
motion-4.7.1-r0.apk                                27-Sep-2025 21:09              147368
motion-doc-4.7.1-r0.apk                            27-Sep-2025 21:09              142998
motion-lang-4.7.1-r0.apk                           27-Sep-2025 21:09              482089
motion-openrc-4.7.1-r0.apk                         27-Sep-2025 21:09                2271
mp3val-0.1.8-r1.apk                                25-Oct-2024 20:06               13512
mpdcron-0.3-r1.apk                                 25-Oct-2024 20:06               98507
mpdcron-dev-0.3-r1.apk                             25-Oct-2024 20:06               57237
mpdcron-doc-0.3-r1.apk                             25-Oct-2024 20:06               13688
mpdcron-zsh-completion-0.3-r1.apk                  25-Oct-2024 20:06                2952
mpdris2-0.9.1-r3.apk                               25-Oct-2024 20:06               15551
mpdris2-doc-0.9.1-r3.apk                           25-Oct-2024 20:06               15224
mpdris2-lang-0.9.1-r3.apk                          25-Oct-2024 20:06                2387
mpv-sponsorblock-2.2.0-r0.apk                      16-Jun-2025 15:16             1437834
mqtt2prometheus-0.1.7-r21.apk                      04-Dec-2025 13:54             4625139
mrsh-0_git20210518-r1.apk                          25-Oct-2024 20:06                5315
mrsh-dbg-0_git20210518-r1.apk                      25-Oct-2024 20:06              207917
mrsh-dev-0_git20210518-r1.apk                      25-Oct-2024 20:06               10226
mrsh-libs-0_git20210518-r1.apk                     25-Oct-2024 20:06               55186
msgpuck-2.0-r1.apk                                 25-Oct-2024 20:06                1235
msgpuck-dev-2.0-r1.apk                             25-Oct-2024 20:06               22940
msgpuck-doc-2.0-r1.apk                             25-Oct-2024 20:06                7507
msh-2.5.0-r17.apk                                  04-Dec-2025 13:54             3076471
msh-openrc-2.5.0-r17.apk                           04-Dec-2025 13:54                2037
mspdebug-0.25-r1.apk                               25-Oct-2024 20:06              172304
mspdebug-doc-0.25-r1.apk                           25-Oct-2024 20:06               14555
mtail-3.2.26-r1.apk                                04-Dec-2025 13:54            13938657
mtail-openrc-3.2.26-r1.apk                         04-Dec-2025 13:54                2026
muse-4.2.1-r2.apk                                  14-May-2025 21:14             6129288
muse-doc-4.2.1-r2.apk                              14-May-2025 21:14             4311004
musikcube-3.0.5-r0.apk                             27-Sep-2025 21:09             2123379
musikcube-dev-3.0.5-r0.apk                         27-Sep-2025 21:09               19554
musikcube-plugin-all-3.0.5-r0.apk                  27-Sep-2025 21:09                1373
musikcube-plugin-httpdatastream-3.0.5-r0.apk       27-Sep-2025 21:09               70877
musikcube-plugin-mpris-3.0.5-r0.apk                27-Sep-2025 21:09               19487
musikcube-plugin-openmpt-3.0.5-r0.apk              27-Sep-2025 21:09               26800
musikcube-plugin-server-3.0.5-r0.apk               27-Sep-2025 21:09              365637
musikcube-plugin-stockencoders-3.0.5-r0.apk        27-Sep-2025 21:09               18389
musikcube-plugin-supereqdsp-3.0.5-r0.apk           27-Sep-2025 21:09               23584
musikcube-plugin-taglibreader-3.0.5-r0.apk         27-Sep-2025 21:09               31726
mxclient-0_git20211002-r1.apk                      25-Oct-2024 20:06               67316
n30f-2.0-r3.apk                                    25-Oct-2024 20:06                6835
nano-hare-0_git20231021-r0.apk                     25-Oct-2024 20:06                2210
nautilus-python-4.0.1-r0.apk                       12-Oct-2025 21:54                9667
nautilus-python-dev-4.0.1-r0.apk                   12-Oct-2025 21:54                1743
nautilus-python-doc-4.0.1-r0.apk                   12-Oct-2025 21:54                4340
nauty-2.9.1-r0.apk                                 08-Sep-2025 16:41             5411985
nauty-dev-2.9.1-r0.apk                             08-Sep-2025 16:41             2881035
nauty-libs-2.9.1-r0.apk                            08-Sep-2025 16:41             2061622
nb-7.19.1-r0.apk                                   24-May-2025 22:10              155787
nb-bash-completion-7.19.1-r0.apk                   24-May-2025 22:10                3058
nb-doc-7.19.1-r0.apk                               24-May-2025 22:10               79331
nb-fish-completion-7.19.1-r0.apk                   24-May-2025 22:10                2865
nb-full-7.19.1-r0.apk                              24-May-2025 22:10                1332
nb-zsh-completion-7.19.1-r0.apk                    24-May-2025 22:10                3021
nbsdgames-5-r0.apk                                 25-Oct-2024 20:06              100894
nbsdgames-doc-5-r0.apk                             25-Oct-2024 20:06                9619
neard-0.19-r0.apk                                  25-Oct-2024 20:06              137905
neard-dev-0.19-r0.apk                              25-Oct-2024 20:06               11337
neard-doc-0.19-r0.apk                              25-Oct-2024 20:06                5718
neard-openrc-0.19-r0.apk                           25-Oct-2024 20:06                1730
neko-2.3.0-r0.apk                                  20-Nov-2024 22:26              442870
neko-dev-2.3.0-r0.apk                              20-Nov-2024 22:26               10632
neko-doc-2.3.0-r0.apk                              20-Nov-2024 22:26               20401
nemo-gtkhash-plugin-1.5-r0.apk                     25-Oct-2024 20:06               22521
neocmakelsp-0.9.0-r0.apk                           22-Nov-2025 13:31             1753316
neocmakelsp-bash-completion-0.9.0-r0.apk           22-Nov-2025 13:31                2032
neocmakelsp-doc-0.9.0-r0.apk                       22-Nov-2025 13:31                5974
neocmakelsp-fish-completion-0.9.0-r0.apk           22-Nov-2025 13:31                1658
neocmakelsp-zsh-completion-0.9.0-r0.apk            22-Nov-2025 13:31                1863
neofetch-7.1.0-r2.apk                              06-Nov-2024 21:46               87710
neofetch-doc-7.1.0-r2.apk                          06-Nov-2024 21:46                6329
net-predictable-1.5.1-r5.apk                       04-Dec-2025 13:54              959801
net-predictable-doc-1.5.1-r5.apk                   04-Dec-2025 13:54                2298
netdiscover-0.21-r0.apk                            15-Aug-2025 12:45              559869
netdiscover-doc-0.21-r0.apk                        15-Aug-2025 12:45                4303
netscanner-0.5.1-r1.apk                            25-Oct-2024 20:06             3767484
netscanner-doc-0.5.1-r1.apk                        25-Oct-2024 20:06                3411
netsed-1.4-r0.apk                                  18-Aug-2025 10:15                9933
networkmanager-dmenu-2.6.1-r1.apk                  13-Oct-2025 15:43               14133
networkmanager-dmenu-doc-2.6.1-r1.apk              13-Oct-2025 15:43                6958
newsyslog-1.2.0.91-r1.apk                          25-Oct-2024 20:06               19369
newsyslog-doc-1.2.0.91-r1.apk                      25-Oct-2024 20:06               24596
nextpnr-0.7-r0.apk                                 25-Oct-2024 20:06                1484
nextpnr-ecp5-0.7-r0.apk                            25-Oct-2024 20:06            26619773
nextpnr-generic-0.7-r0.apk                         25-Oct-2024 20:06              725612
nextpnr-gowin-0.7-r0.apk                           25-Oct-2024 20:06             1518215
nextpnr-ice40-0.7-r0.apk                           25-Oct-2024 20:06            71860457
nfcd-1.2.4-r0.apk                                  31-Dec-2025 16:43              285303
nfcd-dev-1.2.4-r0.apk                              31-Dec-2025 16:43               25119
nfcd-systemd-1.2.4-r0.apk                          31-Dec-2025 16:43                1867
nfoview-2.1-r0.apk                                 13-Apr-2025 10:30               39601
nfoview-doc-2.1-r0.apk                             13-Apr-2025 10:30                8194
nginx-ultimate-bad-bot-blocker-4.2023.10.4046-r..> 25-Oct-2024 20:06              729829
nginx-ultimate-bad-bot-blocker-doc-4.2023.10.40..> 25-Oct-2024 20:06               21775
nicotine-plus-3.3.10-r0.apk                        01-May-2025 18:06             1634615
nicotine-plus-doc-3.3.10-r0.apk                    01-May-2025 18:06                2642
nicotine-plus-lang-3.3.10-r0.apk                   01-May-2025 18:06              775215
nicotine-plus-pyc-3.3.10-r0.apk                    01-May-2025 18:06              807792
nitro-2.7_beta8-r2.apk                             25-Oct-2024 20:06              513376
nitro-dev-2.7_beta8-r2.apk                         25-Oct-2024 20:06              194514
nitro-init-0.6-r0.apk                              16-Dec-2025 23:55               22479
nitro-init-doc-0.6-r0.apk                          16-Dec-2025 23:55                4717
nkk-0_git20221010-r0.apk                           25-Oct-2024 20:06               13239
nkk-dev-0_git20221010-r0.apk                       25-Oct-2024 20:06                2971
nkk-doc-0_git20221010-r0.apk                       25-Oct-2024 20:06                7139
nmap-parse-output-1.5.1-r1.apk                     29-May-2025 11:57               20985
nmap-parse-output-bash-completion-1.5.1-r1.apk     29-May-2025 11:57                2031
nmap-parse-output-doc-1.5.1-r1.apk                 29-May-2025 11:57              827075
noblenote-1.2.1-r1.apk                             25-Oct-2024 20:06              392758
noggin-0.1-r23.apk                                 04-Dec-2025 13:54             1432093
noggin-doc-0.1-r23.apk                             04-Dec-2025 13:54                2990
noggin-model-0.1-r0.apk                            25-Oct-2024 20:06            12576152
noggin-model-lightweight-0.1-r0.apk                25-Oct-2024 20:06             1762336
noice-0.8-r1.apk                                   25-Oct-2024 20:06                8902
noice-doc-0.8-r1.apk                               25-Oct-2024 20:06                3441
nom-2.8.0-r8.apk                                   04-Dec-2025 13:54             7239455
nom-doc-2.8.0-r8.apk                               04-Dec-2025 13:54                4117
nomadnet-0.8.0-r0.apk                              23-Sep-2025 19:39              146723
nomadnet-pyc-0.8.0-r0.apk                          23-Sep-2025 19:39              291474
normaliz-3.10.4-r3.apk                             26-Nov-2025 08:23               39184
normaliz-dev-3.10.4-r3.apk                         26-Nov-2025 08:23               74309
normaliz-libs-3.10.4-r3.apk                        26-Nov-2025 08:23             2877219
notification-daemon-3.20.0-r1.apk                  01-Jul-2025 21:59               57635
nrf5-sdk-17.1.0-r0.apk                             19-Aug-2025 19:54            49237020
nrf5-sdk-doc-17.1.0-r0.apk                         19-Aug-2025 19:54                3718
nsh-0.4.2-r1.apk                                   25-Oct-2024 20:06              629731
nsh-dbg-0.4.2-r1.apk                               25-Oct-2024 20:06             3515911
nsnake-3.0.0-r0.apk                                25-Oct-2024 20:06                8908
nsnake-doc-3.0.0-r0.apk                            25-Oct-2024 20:06                2692
nsq-1.3.0-r10.apk                                  14-May-2025 21:14            26546483
ntpd-rs-1.6.2-r2.apk                               18-Dec-2025 17:57             3305197
ntpd-rs-doc-1.6.2-r2.apk                           18-Dec-2025 17:57               24780
ntpd-rs-openrc-1.6.2-r2.apk                        18-Dec-2025 17:57                1989
nuklear-4.12.0-r0.apk                              25-Oct-2024 20:06              225088
nuklear-doc-4.12.0-r0.apk                          25-Oct-2024 20:06               43430
nullmailer-2.2-r4.apk                              25-Oct-2024 20:06               94934
nullmailer-doc-2.2-r4.apk                          25-Oct-2024 20:06               10462
nullmailer-openrc-2.2-r4.apk                       25-Oct-2024 20:06                1634
numbat-1.16.0-r0.apk                               19-Aug-2025 13:11             1977987
numbat-doc-1.16.0-r0.apk                           19-Aug-2025 13:11               32715
nuzzle-1.6-r0.apk                                  25-Jan-2025 07:04               11985
nuzzle-doc-1.6-r0.apk                              25-Jan-2025 07:04                3281
nvim-cmp-0.0.0_git20221011-r1.apk                  25-Oct-2024 20:06               56163
nvim-cmp-buffer-0.0.0_git20220810-r1.apk           25-Oct-2024 20:06                7993
nvim-cmp-buffer-doc-0.0.0_git20220810-r1.apk       25-Oct-2024 20:06                4254
nvim-cmp-cmdline-0.0.0_git20220902-r1.apk          25-Oct-2024 20:06                3395
nvim-cmp-cmdline-doc-0.0.0_git20220902-r1.apk      25-Oct-2024 20:06                1832
nvim-cmp-doc-0.0.0_git20221011-r1.apk              25-Oct-2024 20:06               10710
nvim-cmp-lsp-0.0.0_git20220516-r1.apk              25-Oct-2024 20:06                3565
nvim-cmp-lsp-doc-0.0.0_git20220516-r1.apk          25-Oct-2024 20:06                2665
nvim-cmp-luasnip-0.0.0_git20220501-r1.apk          25-Oct-2024 20:06                3606
nvim-cmp-luasnip-doc-0.0.0_git20220501-r1.apk      25-Oct-2024 20:06                2089
nvim-cmp-path-0.0.0_git20221002-r1.apk             25-Oct-2024 20:06                3859
nvim-cmp-path-doc-0.0.0_git20221002-r1.apk         25-Oct-2024 20:06                2023
nvimpager-0.12.0-r0.apk                            25-Oct-2024 20:06               12864
nvimpager-doc-0.12.0-r0.apk                        25-Oct-2024 20:06                4506
nvimpager-zsh-completion-0.12.0-r0.apk             25-Oct-2024 20:06                1845
nvtop-3.2.0-r0.apk                                 29-Apr-2025 23:39               71381
nvtop-doc-3.2.0-r0.apk                             29-Apr-2025 23:39                3614
nwg-bar-0.1.6-r15.apk                              04-Dec-2025 13:54             1591791
nwg-displays-0.3.26-r0.apk                         28-Aug-2025 07:39               27303
nwg-displays-pyc-0.3.26-r0.apk                     28-Aug-2025 07:39               36920
nwg-dock-0.4.3-r5.apk                              04-Dec-2025 13:54             1755876
nwg-look-1.0.6-r1.apk                              04-Dec-2025 13:54             1486676
nwg-look-doc-1.0.6-r1.apk                          04-Dec-2025 13:54                4261
nwg-menu-0.1.9-r4.apk                              04-Dec-2025 13:54             1700670
nwg-menu-doc-0.1.9-r4.apk                          04-Dec-2025 13:54                2320
nwg-panel-0.10.13-r0.apk                           28-Nov-2025 13:29              294958
nwg-panel-doc-0.10.13-r0.apk                       28-Nov-2025 13:29                4553
nwg-panel-pyc-0.10.13-r0.apk                       28-Nov-2025 13:29              276190
nwipe-0.39-r0.apk                                  05-Dec-2025 02:01              283873
nwipe-doc-0.39-r0.apk                              05-Dec-2025 02:01                3890
nymphcast-mediaserver-0.1-r4.apk                   28-Aug-2025 21:08               61361
nymphcast-mediaserver-nftables-0.1-r4.apk          28-Aug-2025 21:08                1735
nyuu-0.4.2-r1.apk                                  29-Dec-2025 15:46              721354
nzbget-25.4-r0.apk                                 17-Nov-2025 14:40             4930300
nzbget-openrc-25.4-r0.apk                          17-Nov-2025 14:40                2127
oauth2-proxy-7.11.0-r4.apk                         04-Dec-2025 13:54             8939386
oauth2-proxy-openrc-7.11.0-r4.apk                  04-Dec-2025 13:54                2159
objconv-2.52_git20210213-r2.apk                    25-Oct-2024 20:06              236209
oblibs-0.3.4.0-r0.apk                              01-Jun-2025 01:39               35175
oblibs-dbg-0.3.4.0-r0.apk                          01-Jun-2025 01:39               99534
oblibs-dev-0.3.4.0-r0.apk                          01-Jun-2025 01:39              242358
obnc-0.17.2-r0.apk                                 25-May-2025 23:37              146889
obnc-doc-0.17.2-r0.apk                             25-May-2025 23:37               34118
ocaml-camlpdf-2.8.1-r0.apk                         14-May-2025 21:14             6327290
ocaml-camomile-1.0.2-r3.apk                        25-Oct-2024 20:06             1380182
ocaml-camomile-data-1.0.2-r3.apk                   25-Oct-2024 20:06             5307935
ocaml-camomile-dev-1.0.2-r3.apk                    25-Oct-2024 20:06             2604385
ocaml-charinfo_width-1.1.0-r3.apk                  25-Oct-2024 20:06              106482
ocaml-charinfo_width-dev-1.1.0-r3.apk              25-Oct-2024 20:06              193894
ocaml-cpdf-2.8.1-r0.apk                            14-May-2025 21:14             5105612
ocaml-fileutils-0.6.4-r2.apk                       25-Oct-2024 20:06              321271
ocaml-fileutils-dev-0.6.4-r2.apk                   25-Oct-2024 20:06              612371
ocaml-fileutils-doc-0.6.4-r2.apk                   25-Oct-2024 20:06               16158
ocaml-gettext-0.4.2-r3.apk                         25-Oct-2024 20:06             3579620
ocaml-gettext-dev-0.4.2-r3.apk                     25-Oct-2024 20:06              742142
ocaml-gettext-doc-0.4.2-r3.apk                     25-Oct-2024 20:06               19619
ocaml-lambda-term-3.2.0-r4.apk                     25-Oct-2024 20:06             3608855
ocaml-lambda-term-dev-3.2.0-r4.apk                 25-Oct-2024 20:06             4022178
ocaml-lambda-term-doc-3.2.0-r4.apk                 25-Oct-2024 20:06                8991
ocaml-libvirt-0.6.1.7-r0.apk                       25-Oct-2024 20:06              179199
ocaml-libvirt-dev-0.6.1.7-r0.apk                   25-Oct-2024 20:06              100974
ocaml-libvirt-doc-0.6.1.7-r0.apk                   25-Oct-2024 20:06               14035
ocaml-mew-0.1.0-r3.apk                             25-Oct-2024 20:06               70400
ocaml-mew-dev-0.1.0-r3.apk                         25-Oct-2024 20:06               98153
ocaml-mew_vi-0.5.0-r3.apk                          25-Oct-2024 20:06              189690
ocaml-mew_vi-dev-0.5.0-r3.apk                      25-Oct-2024 20:06              303543
ocaml-mqtt-0.2.2-r0.apk                            25-Oct-2024 20:06              159935
ocaml-mqtt-dev-0.2.2-r0.apk                        25-Oct-2024 20:06              264706
ocaml-obuild-0.1.11-r0.apk                         25-Oct-2024 20:06             1123014
ocaml-omake-0.10.6-r0.apk                          25-Oct-2024 20:06             1694556
ocaml-omake-doc-0.10.6-r0.apk                      25-Oct-2024 20:06                8214
ocaml-ptmap-2.0.5-r3.apk                           25-Oct-2024 20:06               55005
ocaml-ptmap-dev-2.0.5-r3.apk                       25-Oct-2024 20:06               96286
ocaml-qcheck-0.18.1-r3.apk                         25-Oct-2024 20:06              684177
ocaml-qcheck-dev-0.18.1-r3.apk                     25-Oct-2024 20:06             1411014
ocaml-qtest-2.11.2-r3.apk                          25-Oct-2024 20:06              333548
ocaml-qtest-dev-2.11.2-r3.apk                      25-Oct-2024 20:06                3701
ocaml-reason-3.8.2-r2.apk                          25-Oct-2025 04:57            16046092
ocaml-reason-dev-3.8.2-r2.apk                      25-Oct-2025 04:57            30158581
ocaml-sha-1.15.4-r0.apk                            25-Oct-2024 20:06               83777
ocaml-sha-dev-1.15.4-r0.apk                        25-Oct-2024 20:06              245697
ocaml-trie-1.0.0-r2.apk                            25-Oct-2024 20:06               16136
ocaml-trie-dev-1.0.0-r2.apk                        25-Oct-2024 20:06               25884
ocaml-utop-2.9.1-r4.apk                            25-Oct-2024 20:06              357582
ocaml-utop-dev-2.9.1-r4.apk                        25-Oct-2024 20:06              781064
ocaml-zed-3.1.0-r3.apk                             25-Oct-2024 20:06              530894
ocaml-zed-dev-3.1.0-r3.apk                         25-Oct-2024 20:06             1182158
ocfs2-tools-1.8.7-r4.apk                           25-Oct-2024 20:06             1197935
ocfs2-tools-dev-1.8.7-r4.apk                       25-Oct-2024 20:06               46026
ocfs2-tools-doc-1.8.7-r4.apk                       25-Oct-2024 20:06               71017
octoprint-1.10.3-r0.apk                            25-Dec-2024 22:04             3179038
octoprint-creality2xfix-0.0.4-r2.apk               25-Oct-2024 20:06                4825
octoprint-creality2xfix-pyc-0.0.4-r2.apk           25-Oct-2024 20:06                3417
octoprint-filecheck-2024.11.12-r0.apk              15-Jul-2025 08:22               28666
octoprint-filecheck-pyc-2024.11.12-r0.apk          15-Jul-2025 08:22               11913
octoprint-firmwarecheck-2021.10.11-r2.apk          25-Oct-2024 20:06               30009
octoprint-firmwarecheck-pyc-2021.10.11-r2.apk      25-Oct-2024 20:06               18018
octoprint-openrc-1.10.3-r0.apk                     25-Dec-2024 22:04                1750
octoprint-pisupport-2023.10.10-r1.apk              25-Oct-2024 20:06               31576
octoprint-pisupport-pyc-2023.10.10-r1.apk          25-Oct-2024 20:06               13120
octoprint-pyc-1.10.3-r0.apk                        25-Dec-2024 22:04             1297614
oil-0.21.0-r0.apk                                  25-Oct-2024 20:06             1512434
oil-doc-0.21.0-r0.apk                              25-Oct-2024 20:06                7144
oils-for-unix-0.35.0-r0.apk                        28-Sep-2025 22:29              700672
oils-for-unix-bash-0.35.0-r0.apk                   28-Sep-2025 22:29                1718
oils-for-unix-binsh-0.35.0-r0.apk                  28-Sep-2025 22:29                1559
oils-for-unix-doc-0.35.0-r0.apk                    28-Sep-2025 22:29                7191
ol-2.6-r0.apk                                      01-May-2025 06:25             1063790
ol-dev-2.6-r0.apk                                  01-May-2025 06:25               16214
ol-doc-2.6-r0.apk                                  01-May-2025 06:25                2648
olsrd-0.9.8-r3.apk                                 25-Oct-2024 20:06              171985
olsrd-doc-0.9.8-r3.apk                             25-Oct-2024 20:06               25674
olsrd-openrc-0.9.8-r3.apk                          25-Oct-2024 20:06                1919
olsrd-plugins-0.9.8-r3.apk                         25-Oct-2024 20:06              187310
oniux-0.6.1-r0.apk                                 08-Sep-2025 15:38             6236659
oniux-doc-0.6.1-r0.apk                             08-Sep-2025 15:38                3331
opcr-policy-0.3.1-r1.apk                           04-Dec-2025 13:54            10281663
openapi-validator-1.19.2-r0.apk                    25-Oct-2024 20:06            10418371
opendht-3.1.11-r0.apk                              27-Jan-2025 20:56              180847
opendht-dev-3.1.11-r0.apk                          27-Jan-2025 20:56               72589
opendht-doc-3.1.11-r0.apk                          27-Jan-2025 20:56                3099
opendht-libs-3.1.11-r0.apk                         27-Jan-2025 20:56              569398
openfortivpn-1.22.1-r0.apk                         11-Dec-2024 21:31               41751
openfortivpn-doc-1.22.1-r0.apk                     11-Dec-2024 21:31                6449
openfpgaloader-0.11.0-r0.apk                       25-Oct-2024 20:06             2080880
openocd-esp32-0_git20250707-r2.apk                 20-Oct-2025 19:44             2140107
openocd-esp32-dev-0_git20250707-r2.apk             20-Oct-2025 19:44                3746
openocd-esp32-doc-0_git20250707-r2.apk             20-Oct-2025 19:44                3289
openocd-esp32-udev-0_git20250707-r2.apk            20-Oct-2025 19:44                3584
openocd-git-0_git20251018-r1.apk                   20-Oct-2025 19:44             1870732
openocd-git-cmd-openocd-0_git20251018-r1.apk       20-Oct-2025 19:44                1610
openocd-git-dbg-0_git20251018-r1.apk               20-Oct-2025 19:44             4412920
openocd-git-dev-0_git20251018-r1.apk               20-Oct-2025 19:44                3426
openocd-git-doc-0_git20251018-r1.apk               20-Oct-2025 19:44                3290
openocd-git-udev-0_git20251018-r1.apk              20-Oct-2025 19:44                3628
openocd-riscv-0_git20230104-r2.apk                 25-Oct-2024 20:06             1654919
openocd-riscv-dev-0_git20230104-r2.apk             25-Oct-2024 20:06                3814
openocd-riscv-doc-0_git20230104-r2.apk             25-Oct-2024 20:06                3367
openocd-riscv-udev-rules-0_git20230104-r2.apk      25-Oct-2024 20:06                3349
openrdap-0.9.1-r0.apk                              24-Jun-2025 15:50             3424670
openrdap-doc-0.9.1-r0.apk                          24-Jun-2025 15:50                2279
openscap-daemon-0.1.10-r9.apk                      25-Oct-2024 20:06               61606
openscap-daemon-doc-0.1.10-r9.apk                  25-Oct-2024 20:06               17964
openscap-daemon-pyc-0.1.10-r9.apk                  25-Oct-2024 20:06              104322
openswitcher-0.5.0-r4.apk                          25-Oct-2024 20:06              151965
openswitcher-proxy-0.5.0-r4.apk                    25-Oct-2024 20:06                9606
openswitcher-proxy-openrc-0.5.0-r4.apk             25-Oct-2024 20:06                2087
opentelemetry-cpp-1.24.0-r0.apk                    22-Nov-2025 13:33              596781
opentelemetry-cpp-dev-1.24.0-r0.apk                22-Nov-2025 13:33              533791
opentelemetry-cpp-exporter-otlp-common-1.24.0-r..> 22-Nov-2025 13:33               44265
opentelemetry-cpp-exporter-otlp-grpc-1.24.0-r0.apk 22-Nov-2025 13:33               58413
opentelemetry-cpp-exporter-otlp-http-1.24.0-r0.apk 22-Nov-2025 13:33               85306
opentelemetry-cpp-exporter-zipkin-1.24.0-r0.apk    22-Nov-2025 13:33               49316
openvpn3-3.8.5-r1.apk                              17-Feb-2025 12:07              386414
openvpn3-dev-3.8.5-r1.apk                          17-Feb-2025 12:07              683024
openwsman-2.8.1-r1.apk                             30-Jun-2025 07:14               46722
openwsman-dev-2.8.1-r1.apk                         30-Jun-2025 07:14               57042
openwsman-doc-2.8.1-r1.apk                         30-Jun-2025 07:14                2456
openwsman-libs-2.8.1-r1.apk                        30-Jun-2025 07:14              312506
opkg-0.7.0-r0.apk                                  25-Oct-2024 20:06               10208
opkg-dev-0.7.0-r0.apk                              25-Oct-2024 20:06              108301
opkg-doc-0.7.0-r0.apk                              25-Oct-2024 20:06                7835
opkg-libs-0.7.0-r0.apk                             25-Oct-2024 20:06               77535
opkg-utils-0.7.0-r0.apk                            25-Oct-2024 20:06               25804
opkg-utils-doc-0.7.0-r0.apk                        25-Oct-2024 20:06                3706
opmsg-1.84-r1.apk                                  25-Oct-2024 20:06              262511
oppa-1.1.0-r0.apk                                  12-Oct-2025 13:21              553181
orage-4.20.1-r0.apk                                08-Apr-2025 11:49              590730
orage-lang-4.20.1-r0.apk                           08-Apr-2025 11:49             1265933
organicmaps-2025.09.05.1-r0.apk                    20-Oct-2025 10:32           132051568
osmctools-0.9-r0.apk                               25-Oct-2024 20:06              137493
ostui-1.0.4-r0.apk                                 11-Sep-2025 02:50             5030402
ostui-doc-1.0.4-r0.apk                             11-Sep-2025 02:50               28609
otf-0.4.9-r0.apk                                   04-Dec-2025 13:54                1294
otf-agent-0.4.9-r0.apk                             04-Dec-2025 13:54             8521557
otf-agent-openrc-0.4.9-r0.apk                      04-Dec-2025 13:54                2043
otf-atkinson-hyperlegible-2020.0514-r1.apk         25-Oct-2024 20:06              104063
otf-atkinson-hyperlegible-doc-2020.0514-r1.apk     25-Oct-2024 20:06               48831
otf-cli-0.4.9-r0.apk                               04-Dec-2025 13:54             8289958
otf-server-0.4.9-r0.apk                            04-Dec-2025 13:54            13151510
otf-server-openrc-0.4.9-r0.apk                     04-Dec-2025 13:54                2042
otpclient-4.1.1-r0.apk                             24-Jul-2025 01:27              110393
otpclient-doc-4.1.1-r0.apk                         24-Jul-2025 01:27                3736
otrs-6.0.48-r2.apk                                 25-Oct-2024 20:06            30073387
otrs-apache2-6.0.48-r2.apk                         25-Oct-2024 20:06                4125
otrs-bash-completion-6.0.48-r2.apk                 25-Oct-2024 20:06                2462
otrs-dev-6.0.48-r2.apk                             25-Oct-2024 20:06             4058538
otrs-doc-6.0.48-r2.apk                             25-Oct-2024 20:06              814227
otrs-fastcgi-6.0.48-r2.apk                         25-Oct-2024 20:06                1808
otrs-nginx-6.0.48-r2.apk                           25-Oct-2024 20:06                1834
otrs-openrc-6.0.48-r2.apk                          25-Oct-2024 20:06                1938
otrs-setup-6.0.48-r2.apk                           25-Oct-2024 20:06              109916
ouch-0.6.1-r0.apk                                  28-May-2025 07:38             1793611
ouch-bash-completion-0.6.1-r0.apk                  28-May-2025 07:38                2563
ouch-doc-0.6.1-r0.apk                              28-May-2025 07:38                3910
ouch-fish-completion-0.6.1-r0.apk                  28-May-2025 07:38                3108
ouch-zsh-completion-0.6.1-r0.apk                   28-May-2025 07:38                3204
ovhcloud-cli-0.9.0-r0.apk                          14-Dec-2025 10:05             7732910
ovn-25.09.2-r0.apk                                 14-Dec-2025 22:05             1898501
ovn-dbg-25.09.2-r0.apk                             14-Dec-2025 22:05             7022285
ovn-dev-25.09.2-r0.apk                             14-Dec-2025 22:05             1903078
ovn-doc-25.09.2-r0.apk                             14-Dec-2025 22:05              270023
ovn-openrc-25.09.2-r0.apk                          14-Dec-2025 22:05                2453
ovos-audio-1.0.1-r0.apk                            15-Jul-2025 20:03              139550
ovos-audio-pyc-1.0.1-r0.apk                        15-Jul-2025 20:03               36692
ovos-core-2.1.0-r0.apk                             02-Nov-2025 14:52               50176
ovos-core-pyc-2.1.0-r0.apk                         02-Nov-2025 14:52               59709
ovos-gui-1.3.3-r0.apk                              15-Jul-2025 20:03               38763
ovos-gui-pyc-1.3.3-r0.apk                          15-Jul-2025 20:03               39355
ovos-messagebus-0.0.10-r0.apk                      08-Apr-2025 07:43               10192
ovos-messagebus-pyc-0.0.10-r0.apk                  08-Apr-2025 07:43                6808
ovos-phal-0.2.10-r0.apk                            15-Jul-2025 19:29               10727
ovos-phal-pyc-0.2.10-r0.apk                        15-Jul-2025 19:29                7412
ovos-skill-hello-world-0.0.4_alpha3-r1.apk         25-Oct-2024 20:07               46733
ovos-skill-hello-world-pyc-0.0.4_alpha3-r1.apk     25-Oct-2024 20:07                4064
ovpncc-0.1_rc1-r0.apk                              25-Oct-2024 20:07               12231
ovpncc-doc-0.1_rc1-r0.apk                          25-Oct-2024 20:07                6672
oxygen-icons-6.1.0-r0.apk                          25-Oct-2024 20:07            33134394
p0f-3.09b-r3.apk                                   25-Oct-2024 20:07               90171
p0f-doc-3.09b-r3.apk                               25-Oct-2024 20:07               25868
p910nd-0.97-r2.apk                                 25-Oct-2024 20:07                7334
p910nd-doc-0.97-r2.apk                             25-Oct-2024 20:07                3055
p910nd-openrc-0.97-r2.apk                          25-Oct-2024 20:07                1881
packwiz-0_git20251102-r1.apk                       04-Dec-2025 13:54             4935670
packwiz-doc-0_git20251102-r1.apk                   04-Dec-2025 13:54                2313
pacparser-1.4.5-r1.apk                             25-Oct-2024 20:07              745947
pacparser-dev-1.4.5-r1.apk                         25-Oct-2024 20:07                3687
pacparser-doc-1.4.5-r1.apk                         25-Oct-2024 20:07               18179
pam-krb5-4.11-r1.apk                               25-Oct-2024 20:07               21847
pam-krb5-doc-4.11-r1.apk                           25-Oct-2024 20:07               24191
pam-pkcs11-0.6.13-r1.apk                           17-Nov-2025 12:54              246903
pam-pkcs11-doc-0.6.13-r1.apk                       17-Nov-2025 12:54               14552
pam-pkcs11-systemd-0.6.13-r1.apk                   17-Nov-2025 12:54                1799
pam_sqlite3-1.0.2-r2.apk                           25-Oct-2024 20:07                8504
pamtester-0.1.2-r4.apk                             25-Oct-2024 20:07                8571
pamtester-doc-0.1.2-r4.apk                         25-Oct-2024 20:07                2959
pandora_box-0.17.0-r0.apk                          20-Dec-2025 19:20              435561
pantalaimon-0.10.5-r4.apk                          25-Oct-2024 20:07               45839
pantalaimon-doc-0.10.5-r4.apk                      25-Oct-2024 20:07                6536
pantalaimon-pyc-0.10.5-r4.apk                      25-Oct-2024 20:07               84907
pantalaimon-ui-0.10.5-r4.apk                       25-Oct-2024 20:07                1760
paperde-0.3.0-r2.apk                               21-Aug-2025 07:47              613515
paperde-dev-0.3.0-r2.apk                           21-Aug-2025 07:47                5091
paperkey-1.6-r2.apk                                25-Oct-2024 20:07               16771
paperkey-doc-1.6-r2.apk                            25-Oct-2024 20:07                4598
paprefs-1.2-r2.apk                                 22-Nov-2024 22:07               29383
paprefs-lang-1.2-r2.apk                            22-Nov-2024 22:07               38796
par-1.53.0-r1.apk                                  25-Oct-2024 20:07               14268
par-doc-1.53.0-r1.apk                              25-Oct-2024 20:07               31059
par2cmdline-turbo-1.3.0-r0.apk                     14-May-2025 21:14              187036
par2cmdline-turbo-doc-1.3.0-r0.apk                 14-May-2025 21:14                6048
parcellite-1.2.5-r0.apk                            25-Oct-2024 20:07              229728
parcellite-doc-1.2.5-r0.apk                        25-Oct-2024 20:07               25833
parcellite-lang-1.2.5-r0.apk                       25-Oct-2024 20:07               49945
parpar-0.4.5-r1.apk                                29-Dec-2025 15:46             1988204
parse-changelog-0.6.12-r0.apk                      18-May-2025 22:18              582249
pash-2.3.0-r2.apk                                  25-Oct-2024 20:07                4388
pasystray-0.8.2-r0.apk                             25-Oct-2024 20:07               45303
pasystray-doc-0.8.2-r0.apk                         25-Oct-2024 20:07                3412
pcl-1.14.1-r0.apk                                  17-Feb-2025 12:07              443931
pcl-dev-1.14.1-r0.apk                              17-Feb-2025 12:07              396176
pcl-libs-1.14.1-r0.apk                             17-Feb-2025 12:07             1255615
pdal-python-plugins-1.6.5-r0.apk                   21-Jun-2025 21:24              232402
pdf2svg-0.2.4-r0.apk                               28-Sep-2025 18:49                4522
pdfcrack-0.21-r0.apk                               19-Nov-2025 08:08               29418
pebble-le-0.3.0-r2.apk                             14-Dec-2024 18:56               65476
pebble-le-dev-0.3.0-r2.apk                         14-Dec-2024 18:56               44497
pebble-le-doc-0.3.0-r2.apk                         14-Dec-2024 18:56                3772
peervpn-0.044-r5.apk                               25-Oct-2024 20:07               40498
peervpn-openrc-0.044-r5.apk                        25-Oct-2024 20:07                1829
peg-0.1.18-r1.apk                                  25-Oct-2024 20:07               34722
peg-doc-0.1.18-r1.apk                              25-Oct-2024 20:07               13994
percona-toolkit-3.5.4-r1.apk                       25-Oct-2024 20:07             1862147
percona-toolkit-doc-3.5.4-r1.apk                   25-Oct-2024 20:07              304661
perl-adapter-async-0.019-r0.apk                    25-Oct-2024 20:07                8306
perl-adapter-async-doc-0.019-r0.apk                25-Oct-2024 20:07               17149
perl-algorithm-backoff-0.010-r0.apk                25-Oct-2024 20:07                9816
perl-algorithm-backoff-doc-0.010-r0.apk            25-Oct-2024 20:07               30180
perl-algorithm-c3-0.11-r1.apk                      25-Oct-2024 20:07                5768
perl-algorithm-c3-doc-0.11-r1.apk                  25-Oct-2024 20:07                5198
perl-algorithm-cron-0.10-r4.apk                    25-Oct-2024 20:07                6229
perl-algorithm-cron-doc-0.10-r4.apk                25-Oct-2024 20:07                4686
perl-algorithm-evolutionary-0.82.1-r0.apk          25-Oct-2024 20:07               80625
perl-algorithm-evolutionary-doc-0.82.1-r0.apk      25-Oct-2024 20:07              151273
perl-algorithm-permute-0.17-r1.apk                 30-Jun-2025 07:14               11635
perl-algorithm-permute-doc-0.17-r1.apk             30-Jun-2025 07:14                5238
perl-aliased-0.34-r4.apk                           25-Oct-2024 20:07                5772
perl-aliased-doc-0.34-r4.apk                       25-Oct-2024 20:07                5783
perl-alien-base-modulebuild-1.17-r0.apk            08-Jun-2025 16:14               22261
perl-alien-base-modulebuild-doc-1.17-r0.apk        08-Jun-2025 16:14               54707
perl-alien-libgumbo-0.05-r1.apk                    30-Jun-2025 07:14              570909
perl-alien-libgumbo-doc-0.05-r1.apk                30-Jun-2025 07:14                4938
perl-anyevent-dbus-0.31-r0.apk                     13-Dec-2025 07:33                3509
perl-anyevent-dbus-doc-0.31-r0.apk                 13-Dec-2025 07:33                3849
perl-anyevent-dns-etchosts-0.0105-r0.apk           25-Oct-2024 20:07                5210
perl-anyevent-dns-etchosts-doc-0.0105-r0.apk       25-Oct-2024 20:07                4060
perl-anyevent-riperedis-0.48-r0.apk                25-Oct-2024 20:07               12664
perl-anyevent-riperedis-doc-0.48-r0.apk            25-Oct-2024 20:07               10528
perl-archive-any-lite-0.11-r0.apk                  15-Jul-2025 08:22                4223
perl-archive-any-lite-doc-0.11-r0.apk              15-Jul-2025 08:22                3783
perl-archive-extract-0.88-r1.apk                   25-Oct-2024 20:07               16105
perl-archive-extract-doc-0.88-r1.apk               25-Oct-2024 20:07                6971
perl-array-diff-0.09-r0.apk                        10-Jul-2025 02:28                3406
perl-array-diff-doc-0.09-r0.apk                    10-Jul-2025 02:28                3977
perl-asa-1.04-r0.apk                               11-Jun-2025 16:34                4424
perl-asa-doc-1.04-r0.apk                           11-Jun-2025 16:34                5115
perl-astro-0.78-r0.apk                             24-Jul-2025 01:27               32733
perl-astro-coords-0.23-r0.apk                      08-Oct-2025 22:16               53852
perl-astro-coords-doc-0.23-r0.apk                  08-Oct-2025 22:16               41308
perl-astro-doc-0.78-r0.apk                         24-Jul-2025 01:27               14154
perl-astro-montenbruck-1.26-r0.apk                 24-Jul-2025 01:27               54709
perl-astro-montenbruck-doc-1.26-r0.apk             24-Jul-2025 01:27               61125
perl-astro-pal-1.09-r0.apk                         24-Jul-2025 01:27              208722
perl-astro-pal-doc-1.09-r0.apk                     24-Jul-2025 01:27                7538
perl-astro-satpass-0.134-r0.apk                    18-Sep-2025 17:15              223346
perl-astro-satpass-doc-0.134-r0.apk                18-Sep-2025 17:15              136068
perl-astro-telescope-0.71-r0.apk                   24-Jul-2025 01:27               49493
perl-astro-telescope-doc-0.71-r0.apk               24-Jul-2025 01:27                5120
perl-autobox-3.0.2-r1.apk                          30-Jun-2025 07:14               18633
perl-autobox-doc-3.0.2-r1.apk                      30-Jun-2025 07:14                9115
perl-b-hooks-op-check-0.22-r1.apk                  30-Jun-2025 07:14                6442
perl-b-hooks-op-check-doc-0.22-r1.apk              30-Jun-2025 07:14                3859
perl-b-utils-0.27-r1.apk                           30-Jun-2025 07:14               19150
perl-b-utils-doc-0.27-r1.apk                       30-Jun-2025 07:14                9739
perl-badger-0.16-r1.apk                            11-Nov-2025 23:13              259200
perl-badger-doc-0.16-r1.apk                        11-Nov-2025 23:13              269062
perl-barcode-zbar-0.10-r4.apk                      30-Jun-2025 07:14               29319
perl-barcode-zbar-doc-0.10-r4.apk                  30-Jun-2025 07:14               12981
perl-bareword-filehandles-0.007-r1.apk             30-Jun-2025 07:14                5776
perl-bareword-filehandles-doc-0.007-r1.apk         30-Jun-2025 07:14                3315
perl-bind-config-parser-0.01-r5.apk                25-Oct-2024 20:07                3956
perl-bind-config-parser-doc-0.01-r5.apk            25-Oct-2024 20:07                3693
perl-bsd-resource-1.2911-r11.apk                   30-Jun-2025 07:14               19110
perl-bsd-resource-doc-1.2911-r11.apk               30-Jun-2025 07:14                8119
perl-bytes-random-secure-0.29-r0.apk               25-Oct-2024 20:07               14642
perl-bytes-random-secure-doc-0.29-r0.apk           25-Oct-2024 20:07               12426
perl-cache-lru-0.04-r0.apk                         25-Oct-2024 20:07                3014
perl-cache-lru-doc-0.04-r0.apk                     25-Oct-2024 20:07                3278
perl-carp-assert-more-2.9.0-r0.apk                 13-Apr-2025 14:57                9183
perl-carp-assert-more-doc-2.9.0-r0.apk             13-Apr-2025 14:57                7976
perl-carp-repl-0.18-r0.apk                         29-Apr-2025 19:46                6098
perl-carp-repl-doc-0.18-r0.apk                     29-Apr-2025 19:46                6011
perl-catalyst-action-renderview-0.17-r0.apk        19-Mar-2025 14:53                3894
perl-catalyst-action-renderview-doc-0.17-r0.apk    19-Mar-2025 14:53                4139
perl-catalyst-action-rest-1.21-r0.apk              01-Apr-2025 07:19               26007
perl-catalyst-action-rest-doc-1.21-r0.apk          01-Apr-2025 07:19               27716
perl-catalyst-actionrole-acl-0.07-r0.apk           14-May-2025 21:14                4442
perl-catalyst-actionrole-acl-doc-0.07-r0.apk       14-May-2025 21:14                5880
perl-catalyst-authentication-credential-http-1...> 04-Apr-2025 05:40                8815
perl-catalyst-authentication-credential-http-do..> 04-Apr-2025 05:40                6920
perl-catalyst-authentication-store-dbix-class-0..> 11-Jun-2025 16:35               13638
perl-catalyst-authentication-store-dbix-class-d..> 11-Jun-2025 16:35               14197
perl-catalyst-component-instancepercontext-0.00..> 19-Jun-2025 10:55                2784
perl-catalyst-component-instancepercontext-doc-..> 19-Jun-2025 10:55                3369
perl-catalyst-controller-actionrole-0.17-r0.apk    04-Apr-2025 05:41                5105
perl-catalyst-controller-actionrole-doc-0.17-r0..> 04-Apr-2025 05:41                4553
perl-catalyst-devel-1.42-r0.apk                    20-Mar-2025 16:04               55364
perl-catalyst-devel-doc-1.42-r0.apk                20-Mar-2025 16:04               11657
perl-catalyst-manual-5.9013-r0.apk                 04-Apr-2025 05:22                2750
perl-catalyst-manual-doc-5.9013-r0.apk             04-Apr-2025 05:22              384132
perl-catalyst-model-adaptor-0.10-r0.apk            13-Apr-2025 14:57                6639
perl-catalyst-model-adaptor-doc-0.10-r0.apk        13-Apr-2025 14:57               12402
perl-catalyst-model-dbic-schema-0.66-r0.apk        11-Jun-2025 16:35               19580
perl-catalyst-model-dbic-schema-doc-0.66-r0.apk    11-Jun-2025 16:35               18336
perl-catalyst-plugin-authentication-0.10024-r0.apk 29-Mar-2025 08:34               32995
perl-catalyst-plugin-authentication-doc-0.10024..> 29-Mar-2025 08:34               57243
perl-catalyst-plugin-configloader-0.35-r0.apk      20-Mar-2025 16:04                5515
perl-catalyst-plugin-configloader-doc-0.35-r0.apk  20-Mar-2025 16:04               10566
perl-catalyst-plugin-i18n-0.10-r0.apk              04-Apr-2025 08:55                4226
perl-catalyst-plugin-i18n-doc-0.10-r0.apk          04-Apr-2025 08:55               13597
perl-catalyst-plugin-session-0.43-r0.apk           04-Apr-2025 11:56               14777
perl-catalyst-plugin-session-doc-0.43-r0.apk       04-Apr-2025 11:56               25738
perl-catalyst-plugin-session-state-cookie-0.18-..> 20-Apr-2025 04:32                4971
perl-catalyst-plugin-session-state-cookie-doc-0..> 20-Apr-2025 04:32                4965
perl-catalyst-plugin-session-store-dbic-0.14-r0..> 13-Jun-2025 18:17                6027
perl-catalyst-plugin-session-store-dbic-doc-0.1..> 13-Jun-2025 18:17                6591
perl-catalyst-plugin-session-store-delegate-0.0..> 13-Jun-2025 18:17                4590
perl-catalyst-plugin-session-store-delegate-doc..> 13-Jun-2025 18:17                4638
perl-catalyst-plugin-session-store-file-0.18-r0..> 14-Jun-2025 02:46                3736
perl-catalyst-plugin-session-store-file-doc-0.1..> 14-Jun-2025 02:46                4105
perl-catalyst-plugin-stacktrace-0.12-r0.apk        14-Jun-2025 02:46                4783
perl-catalyst-plugin-stacktrace-doc-0.12-r0.apk    14-Jun-2025 02:46                3978
perl-catalyst-plugin-static-simple-0.37-r0.apk     20-Mar-2025 16:04                8905
perl-catalyst-plugin-static-simple-doc-0.37-r0.apk 20-Mar-2025 16:04                7589
perl-catalyst-runtime-5.90132-r0.apk               19-Mar-2025 11:44              153282
perl-catalyst-runtime-doc-5.90132-r0.apk           19-Mar-2025 11:44              221533
perl-catalyst-view-email-0.36-r0.apk               04-Apr-2025 08:55                9300
perl-catalyst-view-email-doc-0.36-r0.apk           04-Apr-2025 08:55               11017
perl-catalyst-view-tt-0.46-r0.apk                  12-Apr-2025 15:51               13942
perl-catalyst-view-tt-doc-0.46-r0.apk              12-Apr-2025 15:51               12998
perl-catalystx-component-traits-0.19-r0.apk        14-May-2025 21:14                4423
perl-catalystx-component-traits-doc-0.19-r0.apk    14-May-2025 21:14                4091
perl-catalystx-injectcomponent-0.025-r0.apk        01-May-2025 06:34                3498
perl-catalystx-injectcomponent-doc-0.025-r0.apk    01-May-2025 06:34                3671
perl-catalystx-leakchecker-0.06-r0.apk             15-Jun-2025 04:46                3458
perl-catalystx-leakchecker-doc-0.06-r0.apk         15-Jun-2025 04:46                3601
perl-catalystx-profile-0.02-r0.apk                 15-Jun-2025 04:46                3148
perl-catalystx-profile-doc-0.02-r0.apk             15-Jun-2025 04:46                4653
perl-catalystx-repl-0.04-r0.apk                    29-Apr-2025 19:46                3382
perl-catalystx-repl-doc-0.04-r0.apk                29-Apr-2025 19:46                3708
perl-catalystx-simplelogin-0.21-r0.apk             14-May-2025 21:14               10939
perl-catalystx-simplelogin-doc-0.21-r0.apk         14-May-2025 21:14               24767
perl-cgi-expand-2.05-r4.apk                        25-Oct-2024 20:07                7014
perl-cgi-expand-doc-2.05-r4.apk                    25-Oct-2024 20:07                6286
perl-cgi-simple-1.282-r0.apk                       30-Aug-2025 04:17               56962
perl-cgi-simple-doc-1.282-r0.apk                   30-Aug-2025 04:17               43756
perl-cgi-struct-1.21-r0.apk                        14-Mar-2025 16:31                8020
perl-cgi-struct-doc-1.21-r0.apk                    14-Mar-2025 16:31                6943
perl-check-unitcheck-0.13-r2.apk                   30-Jun-2025 07:14                5829
perl-check-unitcheck-doc-0.13-r2.apk               30-Jun-2025 07:14                3697
perl-class-accessor-grouped-0.10014-r2.apk         25-Oct-2024 20:07               12288
perl-class-accessor-grouped-doc-0.10014-r2.apk     25-Oct-2024 20:07                7634
perl-class-c3-0.35-r1.apk                          25-Oct-2024 20:07                9667
perl-class-c3-adopt-next-0.14-r0.apk               14-Mar-2025 16:31                5205
perl-class-c3-adopt-next-doc-0.14-r0.apk           14-Mar-2025 16:31                4787
perl-class-c3-componentised-1.001002-r2.apk        25-Oct-2024 20:07                5635
perl-class-c3-componentised-doc-1.001002-r2.apk    25-Oct-2024 20:07                5445
perl-class-c3-doc-0.35-r1.apk                      25-Oct-2024 20:07                9483
perl-class-unload-0.11-r0.apk                      04-Jun-2025 17:22                2650
perl-class-unload-doc-0.11-r0.apk                  04-Jun-2025 17:22                3138
perl-cli-osprey-0.08-r0.apk                        28-Dec-2024 04:54               13053
perl-cli-osprey-doc-0.08-r0.apk                    28-Dec-2024 04:54               12340
perl-clipboard-0.32-r1.apk                         13-Oct-2025 15:43               10674
perl-clipboard-doc-0.32-r1.apk                     13-Oct-2025 15:43               27512
perl-color-ansi-util-0.165-r0.apk                  25-Oct-2024 20:07                7460
perl-color-ansi-util-doc-0.165-r0.apk              25-Oct-2024 20:07                5284
perl-color-rgb-util-0.609-r0.apk                   19-Mar-2025 11:44                9684
perl-color-rgb-util-doc-0.609-r0.apk               19-Mar-2025 11:44                7540
perl-conf-libconfig-1.0.3-r2.apk                   30-Jun-2025 07:14               23172
perl-conf-libconfig-doc-1.0.3-r2.apk               30-Jun-2025 07:14                5635
perl-constant-defer-6-r5.apk                       25-Oct-2024 20:07                7564
perl-constant-defer-doc-6-r5.apk                   25-Oct-2024 20:07                7100
perl-constant-generate-0.17-r5.apk                 25-Oct-2024 20:07                8942
perl-constant-generate-doc-0.17-r5.apk             25-Oct-2024 20:07                7191
perl-context-preserve-0.03-r4.apk                  25-Oct-2024 20:07                3942
perl-context-preserve-doc-0.03-r4.apk              25-Oct-2024 20:07                4294
perl-cpan-audit-20250829.001-r0.apk                05-Sep-2025 06:56               13841
perl-cpan-audit-doc-20250829.001-r0.apk            05-Sep-2025 06:56               12656
perl-cpan-changes-0.500005-r0.apk                  16-Aug-2025 14:16               13727
perl-cpan-changes-doc-0.500005-r0.apk              16-Aug-2025 14:16               18783
perl-cpansa-db-20250807.001-r0.apk                 01-Sep-2025 13:16             1350735
perl-cpansa-db-doc-20250807.001-r0.apk             01-Sep-2025 13:16                5704
perl-crypt-blowfish-2.14-r1.apk                    30-Jun-2025 07:14               12764
perl-crypt-blowfish-doc-2.14-r1.apk                30-Jun-2025 07:14                4172
perl-crypt-random-seed-0.03-r0.apk                 25-Oct-2024 20:07               11490
perl-crypt-random-seed-doc-0.03-r0.apk             25-Oct-2024 20:07                8995
perl-crypt-saltedhash-0.09-r5.apk                  25-Oct-2024 20:07                7094
perl-crypt-saltedhash-doc-0.09-r5.apk              25-Oct-2024 20:07                6495
perl-css-inliner-4027-r0.apk                       16-Jun-2025 11:50               16204
perl-css-inliner-doc-4027-r0.apk                   16-Jun-2025 11:50                9665
perl-css-object-0.2.0-r0.apk                       25-Oct-2024 20:07               23301
perl-css-object-doc-0.2.0-r0.apk                   25-Oct-2024 20:07               33337
perl-daemon-control-0.001010-r2.apk                25-Oct-2024 20:07               12654
perl-daemon-control-doc-0.001010-r2.apk            25-Oct-2024 20:07                8429
perl-dancer-plugin-auth-extensible-1.00-r5.apk     25-Oct-2024 20:07               15654
perl-dancer-plugin-auth-extensible-doc-1.00-r5.apk 25-Oct-2024 20:07               15147
perl-dancer-plugin-dbic-0.2104-r5.apk              25-Oct-2024 20:07                5023
perl-dancer-plugin-dbic-doc-0.2104-r5.apk          25-Oct-2024 20:07                5462
perl-dancer-plugin-passphrase-2.0.1-r4.apk         25-Oct-2024 20:07                9908
perl-dancer-plugin-passphrase-doc-2.0.1-r4.apk     25-Oct-2024 20:07                8636
perl-dancer2-1.1.2-r0.apk                          28-Dec-2024 04:54              166949
perl-dancer2-doc-1.1.2-r0.apk                      28-Dec-2024 04:54              307990
perl-data-binary-0.01-r0.apk                       10-Jul-2025 02:28                2834
perl-data-binary-doc-0.01-r0.apk                   10-Jul-2025 02:28                3159
perl-data-checks-0.11-r0.apk                       26-Nov-2025 08:23               21762
perl-data-checks-doc-0.11-r0.apk                   26-Nov-2025 08:23                8684
perl-data-clone-0.006-r1.apk                       30-Jun-2025 07:14                9500
perl-data-clone-doc-0.006-r1.apk                   30-Jun-2025 07:14                4645
perl-data-dump-streamer-2.42-r1.apk                30-Jun-2025 07:14               50497
perl-data-dump-streamer-doc-2.42-r1.apk            30-Jun-2025 07:14               17677
perl-data-section-0.200008-r0.apk                  07-Jul-2025 17:02                6573
perl-data-section-doc-0.200008-r0.apk              07-Jul-2025 17:02                5754
perl-data-validate-domain-0.15-r0.apk              25-Oct-2024 20:07                5962
perl-data-validate-domain-doc-0.15-r0.apk          25-Oct-2024 20:07                5580
perl-data-validate-ip-0.31-r1.apk                  25-Oct-2024 20:07                9022
perl-data-validate-ip-doc-0.31-r1.apk              25-Oct-2024 20:07                5988
perl-data-visitor-0.32-r0.apk                      19-Mar-2025 14:53               10011
perl-data-visitor-doc-0.32-r0.apk                  19-Mar-2025 14:53                8510
perl-database-async-0.019-r0.apk                   25-Oct-2024 20:07               23331
perl-database-async-doc-0.019-r0.apk               25-Oct-2024 20:07               29877
perl-database-async-engine-postgresql-1.005-r0.apk 25-Oct-2024 20:07               14272
perl-database-async-engine-postgresql-doc-1.005..> 25-Oct-2024 20:07                9536
perl-date-range-1.41-r0.apk                        08-Jul-2025 16:53                3843
perl-date-range-doc-1.41-r0.apk                    08-Jul-2025 16:53                4142
perl-datetime-astro-1.04-r0.apk                    24-Jul-2025 01:27               34838
perl-datetime-astro-doc-1.04-r0.apk                24-Jul-2025 01:27                6159
perl-datetime-format-atom-1.8.0-r0.apk             05-Jan-2025 15:08                3229
perl-datetime-format-atom-doc-1.8.0-r0.apk         05-Jan-2025 15:08                3860
perl-datetime-format-flexible-0.37-r0.apk          31-Dec-2024 13:25               18483
perl-datetime-format-flexible-doc-0.37-r0.apk      31-Dec-2024 13:25               12411
perl-datetime-format-rfc3339-1.10.0-r0.apk         05-Jan-2025 15:08                4492
perl-datetime-format-rfc3339-doc-1.10.0-r0.apk     05-Jan-2025 15:08                4236
perl-datetime-set-0.3900-r0.apk                    24-Jul-2025 01:27               20395
perl-datetime-set-doc-0.3900-r0.apk                24-Jul-2025 01:27               18281
perl-datetime-timezone-alias-0.06-r0.apk           25-Oct-2024 20:07                2576
perl-datetime-timezone-alias-doc-0.06-r0.apk       25-Oct-2024 20:07                7785
perl-datetime-timezone-catalog-extend-0.3.3-r0.apk 25-Oct-2024 20:07               12091
perl-datetime-timezone-catalog-extend-doc-0.3.3..> 25-Oct-2024 20:07               15393
perl-dbicx-sugar-0.0200-r5.apk                     25-Oct-2024 20:07                6010
perl-dbicx-sugar-doc-0.0200-r5.apk                 25-Oct-2024 20:07                5442
perl-dbix-class-0.082844-r0.apk                    16-Jan-2025 17:26              364008
perl-dbix-class-candy-0.005004-r0.apk              30-Oct-2024 05:59                8062
perl-dbix-class-candy-doc-0.005004-r0.apk          30-Oct-2024 05:59                9708
perl-dbix-class-cursor-cached-1.001004-r0.apk      09-Jun-2025 16:27                3290
perl-dbix-class-cursor-cached-doc-1.001004-r0.apk  09-Jun-2025 16:27                3120
perl-dbix-class-doc-0.082844-r0.apk                16-Jan-2025 17:26              430593
perl-dbix-class-helpers-2.037000-r0.apk            19-Nov-2024 22:34               48859
perl-dbix-class-helpers-doc-2.037000-r0.apk        19-Nov-2024 22:34              124277
perl-dbix-class-schema-loader-0.07053-r0.apk       09-Jun-2025 16:27               99711
perl-dbix-class-schema-loader-doc-0.07053-r0.apk   09-Jun-2025 16:27               79184
perl-dbix-connector-0.60-r0.apk                    30-Dec-2024 06:37               15100
perl-dbix-connector-doc-0.60-r0.apk                30-Dec-2024 06:37               22837
perl-dbix-datasource-0.02-r5.apk                   25-Oct-2024 20:07                4386
perl-dbix-datasource-doc-0.02-r5.apk               25-Oct-2024 20:07                7704
perl-dbix-introspector-0.001005-r4.apk             25-Oct-2024 20:07                8223
perl-dbix-introspector-doc-0.001005-r4.apk         25-Oct-2024 20:07                8639
perl-dbix-lite-0.36-r0.apk                         30-Dec-2024 06:37               18448
perl-dbix-lite-doc-0.36-r0.apk                     30-Dec-2024 06:37               17937
perl-devel-confess-0.009004-r0.apk                 25-Oct-2024 20:07               11680
perl-devel-confess-doc-0.009004-r0.apk             25-Oct-2024 20:07                6792
perl-devel-leak-0.03-r14.apk                       30-Jun-2025 07:14                6580
perl-devel-leak-doc-0.03-r14.apk                   30-Jun-2025 07:14                3430
perl-devel-nytprof-6.14-r1.apk                     30-Jun-2025 07:14              393893
perl-devel-nytprof-doc-6.14-r1.apk                 30-Jun-2025 07:14               51746
perl-devel-refcount-0.10-r2.apk                    30-Jun-2025 07:14                6120
perl-devel-refcount-doc-0.10-r2.apk                30-Jun-2025 07:14                4405
perl-devel-repl-1.003029-r0.apk                    24-Apr-2025 14:50               29028
perl-devel-repl-doc-1.003029-r0.apk                24-Apr-2025 14:50               61593
perl-devel-stacktrace-withlexicals-2.01-r0.apk     29-Apr-2025 19:46                3744
perl-devel-stacktrace-withlexicals-doc-2.01-r0.apk 29-Apr-2025 19:46                3507
perl-devel-trace-0.12-r0.apk                       06-Jul-2025 19:08                3440
perl-devel-trace-doc-0.12-r0.apk                   06-Jul-2025 19:08                3557
perl-digest-bcrypt-1.212-r1.apk                    25-Oct-2024 20:07                5686
perl-digest-bcrypt-doc-1.212-r1.apk                25-Oct-2024 20:07                5282
perl-digest-crc-0.24-r2.apk                        30-Jun-2025 07:14                9788
perl-digest-crc-doc-0.24-r2.apk                    30-Jun-2025 07:14                3362
perl-dns-unbound-0.29-r2.apk                       30-Jun-2025 07:14               22982
perl-dns-unbound-anyevent-0.29-r2.apk              30-Jun-2025 07:14                2398
perl-dns-unbound-asyncquery-promisexs-0.29-r2.apk  30-Jun-2025 07:14                1987
perl-dns-unbound-doc-0.29-r2.apk                   30-Jun-2025 07:14               15769
perl-dns-unbound-ioasync-0.29-r2.apk               30-Jun-2025 07:14                2474
perl-dns-unbound-mojo-0.29-r2.apk                  30-Jun-2025 07:14                2717
perl-email-abstract-3.010-r0.apk                   25-Oct-2024 20:07                7820
perl-email-abstract-doc-3.010-r0.apk               25-Oct-2024 20:07               13190
perl-email-mime-attachment-stripper-1.317-r5.apk   25-Oct-2024 20:07                4046
perl-email-mime-attachment-stripper-doc-1.317-r..> 25-Oct-2024 20:07                3891
perl-email-reply-1.204-r5.apk                      25-Oct-2024 20:07                6274
perl-email-reply-doc-1.204-r5.apk                  25-Oct-2024 20:07                4901
perl-email-sender-2.601-r0.apk                     04-Apr-2025 08:55               25254
perl-email-sender-doc-2.601-r0.apk                 04-Apr-2025 08:55               43105
perl-encode-detect-1.01-r1.apk                     30-Jun-2025 07:14               70780
perl-encode-detect-doc-1.01-r1.apk                 30-Jun-2025 07:14                4961
perl-ev-hiredis-0.07-r3.apk                        30-Jun-2025 07:14               13109
perl-ev-hiredis-doc-0.07-r3.apk                    30-Jun-2025 07:14                4337
perl-expect-1.38-r0.apk                            19-Apr-2025 19:01               33223
perl-expect-doc-1.38-r0.apk                        19-Apr-2025 19:01               20779
perl-expect-simple-0.04-r0.apk                     20-Apr-2025 04:38                5622
perl-expect-simple-doc-0.04-r0.apk                 20-Apr-2025 04:38                5001
perl-extutils-makemaker-7.76-r0.apk                16-Aug-2025 14:18              178394
perl-extutils-xsbuilder-0.28-r5.apk                25-Oct-2024 20:07               44081
perl-extutils-xsbuilder-doc-0.28-r5.apk            25-Oct-2024 20:07               21633
perl-feed-find-0.13-r0.apk                         25-Oct-2024 20:07                3925
perl-feed-find-doc-0.13-r0.apk                     25-Oct-2024 20:07                3809
perl-ffi-c-0.15-r0.apk                             25-Oct-2024 20:07               20322
perl-ffi-c-doc-0.15-r0.apk                         25-Oct-2024 20:07               29290
perl-ffi-platypus-2.10-r1.apk                      30-Jun-2025 07:14              186027
perl-ffi-platypus-doc-2.10-r1.apk                  30-Jun-2025 07:14              151518
perl-ffi-platypus-type-enum-0.06-r0.apk            25-Oct-2024 20:07                5309
perl-ffi-platypus-type-enum-doc-0.06-r0.apk        25-Oct-2024 20:07                5261
perl-file-changenotify-0.31-r0.apk                 20-Mar-2025 16:04               12397
perl-file-changenotify-doc-0.31-r0.apk             20-Mar-2025 16:04               14342
perl-file-find-object-0.3.9-r0.apk                 15-Jul-2025 08:22                9377
perl-file-find-object-doc-0.3.9-r0.apk             15-Jul-2025 08:22               13524
perl-file-mmagic-xs-0.09008-r5.apk                 30-Jun-2025 07:14               28050
perl-file-mmagic-xs-doc-0.09008-r5.apk             30-Jun-2025 07:14                4362
perl-file-rename-2.02-r0.apk                       25-Oct-2024 20:07                7701
perl-file-rename-doc-2.02-r0.apk                   25-Oct-2024 20:07               12356
perl-file-treecreate-0.0.1-r0.apk                  15-Jul-2025 08:22                4116
perl-file-treecreate-doc-0.0.1-r0.apk              15-Jul-2025 08:22                4505
perl-finance-quote-1.68-r0.apk                     22-Dec-2025 10:54              116610
perl-finance-quote-doc-1.68-r0.apk                 22-Dec-2025 10:54               91994
perl-flowd-0.9.1-r11.apk                           30-Jun-2025 07:14               23350
perl-flowd-doc-0.9.1-r11.apk                       30-Jun-2025 07:14                3332
perl-freezethaw-0.5001-r3.apk                      18-Oct-2025 10:32               10056
perl-freezethaw-doc-0.5001-r3.apk                  18-Oct-2025 10:32                5843
perl-full-1.004-r0.apk                             25-Oct-2024 20:07                7286
perl-full-doc-1.004-r0.apk                         25-Oct-2024 20:07               10545
perl-future-asyncawait-hooks-0.02-r1.apk           30-Jun-2025 07:14                7857
perl-future-asyncawait-hooks-doc-0.02-r1.apk       30-Jun-2025 07:14                3421
perl-future-http-0.17-r0.apk                       25-Oct-2024 20:07                9457
perl-future-http-doc-0.17-r0.apk                   25-Oct-2024 20:07               16056
perl-future-q-0.120-r0.apk                         25-Oct-2024 20:07                9814
perl-future-q-doc-0.120-r0.apk                     25-Oct-2024 20:07                9310
perl-future-queue-0.52-r0.apk                      25-Oct-2024 20:07                4166
perl-future-queue-doc-0.52-r0.apk                  25-Oct-2024 20:07                4352
perl-gearman-2.004.015-r3.apk                      25-Oct-2024 20:07               28103
perl-gearman-doc-2.004.015-r3.apk                  25-Oct-2024 20:07               20233
perl-getopt-long-descriptive-0.116-r0.apk          31-Dec-2024 09:39               14985
perl-getopt-long-descriptive-doc-0.116-r0.apk      31-Dec-2024 09:39               11251
perl-getopt-tabular-0.3-r4.apk                     25-Oct-2024 20:07               23780
perl-getopt-tabular-doc-0.3-r4.apk                 25-Oct-2024 20:07               17124
perl-git-raw-0.90-r4.apk                           30-Jun-2025 07:14              166441
perl-git-raw-doc-0.90-r4.apk                       30-Jun-2025 07:14              122140
perl-git-repository-1.325-r0.apk                   25-Oct-2024 20:07               16707
perl-git-repository-doc-1.325-r0.apk               25-Oct-2024 20:07               32236
perl-git-version-compare-1.005-r0.apk              25-Oct-2024 20:07                5509
perl-git-version-compare-doc-1.005-r0.apk          25-Oct-2024 20:07                4960
perl-glib-ex-objectbits-17-r0.apk                  25-Oct-2024 20:07               15409
perl-glib-ex-objectbits-doc-17-r0.apk              25-Oct-2024 20:07               22924
perl-graphql-client-0.605-r0.apk                   25-Oct-2024 20:07                7295
perl-graphql-client-cli-0.605-r0.apk               25-Oct-2024 20:07                7986
perl-graphql-client-doc-0.605-r0.apk               25-Oct-2024 20:07               14294
perl-gtk2-1.24993-r7.apk                           30-Jun-2025 07:14              827457
perl-gtk2-doc-1.24993-r7.apk                       30-Jun-2025 07:14              694861
perl-gtk2-ex-listmodelconcat-11-r4.apk             25-Oct-2024 20:07               13047
perl-gtk2-ex-listmodelconcat-doc-11-r4.apk         25-Oct-2024 20:07                7457
perl-gtk2-ex-widgetbits-48-r3.apk                  25-Oct-2024 20:07               67277
perl-gtk2-ex-widgetbits-doc-48-r3.apk              25-Oct-2024 20:07               83158
perl-guard-1.023-r10.apk                           30-Jun-2025 07:14                8343
perl-guard-doc-1.023-r10.apk                       30-Jun-2025 07:14                5470
perl-hash-merge-extra-0.06-r0.apk                  20-Jun-2025 15:18                3213
perl-hash-merge-extra-doc-0.06-r0.apk              20-Jun-2025 15:18                3446
perl-hash-ordered-0.014-r0.apk                     25-Oct-2024 20:07               10009
perl-hash-ordered-doc-0.014-r0.apk                 25-Oct-2024 20:07               19677
perl-html-formatexternal-26-r0.apk                 07-Jun-2025 14:55               17485
perl-html-formatexternal-doc-26-r0.apk             07-Jun-2025 14:55               23561
perl-html-formhandler-0.40068-r0.apk               01-May-2025 06:34              138718
perl-html-formhandler-doc-0.40068-r0.apk           01-May-2025 06:34              330983
perl-html-gumbo-0.18-r2.apk                        30-Jun-2025 07:14               14206
perl-html-gumbo-doc-0.18-r2.apk                    30-Jun-2025 07:14                5558
perl-html-object-0.6.0-r0.apk                      16-Oct-2025 16:25              351090
perl-html-object-doc-0.6.0-r0.apk                  16-Oct-2025 16:25              484879
perl-html-query-0.09-r0.apk                        16-Jun-2025 11:50               14144
perl-html-query-doc-0.09-r0.apk                    16-Jun-2025 11:50               10341
perl-html-selector-xpath-0.28-r0.apk               25-Oct-2024 20:07                6343
perl-html-selector-xpath-doc-0.28-r0.apk           25-Oct-2024 20:07                4144
perl-html-tableextract-2.15-r4.apk                 25-Oct-2024 20:07               18089
perl-html-tableextract-doc-2.15-r4.apk             25-Oct-2024 20:07               10141
perl-html-treebuilder-xpath-0.14-r0.apk            10-Jul-2025 02:28                7991
perl-html-treebuilder-xpath-doc-0.14-r0.apk        10-Jul-2025 02:28                4313
perl-http-headers-actionpack-0.09-r0.apk           13-Jun-2025 18:17               18434
perl-http-headers-actionpack-doc-0.09-r0.apk       13-Jun-2025 18:17               41269
perl-http-thin-0.006-r0.apk                        25-Oct-2024 20:07                3137
perl-http-thin-doc-0.006-r0.apk                    25-Oct-2024 20:07                3509
perl-http-xsheaders-0.400005-r2.apk                30-Jun-2025 07:14               17644
perl-http-xsheaders-doc-0.400005-r2.apk            30-Jun-2025 07:14                6516
perl-i18n-langinfo-wide-9-r4.apk                   25-Oct-2024 20:07                4304
perl-i18n-langinfo-wide-doc-9-r4.apk               25-Oct-2024 20:07                4168
perl-imager-1.028-r1.apk                           30-Jun-2025 07:14              508485
perl-imager-doc-1.028-r1.apk                       30-Jun-2025 07:14              293305
perl-indirect-0.39-r2.apk                          30-Jun-2025 07:14               14910
perl-indirect-doc-0.39-r2.apk                      30-Jun-2025 07:14                6559
perl-io-handle-util-0.02-r0.apk                    11-Jun-2025 16:34               10879
perl-io-handle-util-doc-0.02-r0.apk                11-Jun-2025 16:34               10054
perl-io-interactive-1.027-r0.apk                   01-Sep-2025 13:16                5440
perl-io-interactive-doc-1.027-r0.apk               01-Sep-2025 13:16                5322
perl-io-lambda-1.34-r0.apk                         25-Oct-2024 20:07               77270
perl-io-lambda-doc-1.34-r0.apk                     25-Oct-2024 20:07               69576
perl-io-sessiondata-1.03-r3.apk                    25-Oct-2024 20:07                5881
perl-json-maybeutf8-2.000-r0.apk                   25-Oct-2024 20:07                3179
perl-json-maybeutf8-doc-2.000-r0.apk               25-Oct-2024 20:07                3625
perl-json-validator-5.15-r0.apk                    19-Mar-2025 11:44               59542
perl-json-validator-doc-5.15-r0.apk                19-Mar-2025 11:44               34626
perl-lexical-persistence-1.023-r0.apk              29-Apr-2025 19:46                7691
perl-lexical-persistence-doc-1.023-r0.apk          29-Apr-2025 19:46                7755
perl-lib-abs-0.95-r0.apk                           25-Oct-2024 20:07                3951
perl-lib-abs-doc-0.95-r0.apk                       25-Oct-2024 20:07                4053
perl-libapreq2-2.17-r3.apk                         30-Jun-2025 07:14               95464
perl-libapreq2-dev-2.17-r3.apk                     30-Jun-2025 07:14               55658
perl-libapreq2-doc-2.17-r3.apk                     30-Jun-2025 07:14               38167
perl-libintl-perl-1.35-r0.apk                      16-Jan-2025 13:35              312243
perl-libintl-perl-doc-1.35-r0.apk                  16-Jan-2025 13:35              585133
perl-lingua-en-findnumber-1.32-r0.apk              08-Jun-2025 16:14                3329
perl-lingua-en-findnumber-doc-1.32-r0.apk          08-Jun-2025 16:14                3563
perl-lingua-en-inflect-number-1.12-r0.apk          08-Jun-2025 16:14                3282
perl-lingua-en-inflect-number-doc-1.12-r0.apk      08-Jun-2025 16:14                3642
perl-lingua-en-inflect-phrase-0.20-r0.apk          09-Jun-2025 12:06                5443
perl-lingua-en-inflect-phrase-doc-0.20-r0.apk      09-Jun-2025 12:06                3995
perl-lingua-en-number-isordinal-0.05-r0.apk        08-Jun-2025 16:14                3132
perl-lingua-en-number-isordinal-doc-0.05-r0.apk    08-Jun-2025 16:14                3528
perl-lingua-en-tagger-0.31-r0.apk                  08-Jun-2025 16:14              558867
perl-lingua-en-tagger-doc-0.31-r0.apk              08-Jun-2025 16:14                4560
perl-lingua-en-words2nums-0.18-r0.apk              07-Jun-2025 14:55                4707
perl-lingua-en-words2nums-doc-0.18-r0.apk          07-Jun-2025 14:55                3600
perl-lingua-pt-stemmer-0.02-r0.apk                 07-Jun-2025 02:00                5556
perl-lingua-pt-stemmer-doc-0.02-r0.apk             07-Jun-2025 02:00                4384
perl-lingua-stem-2.31-r0.apk                       08-Jun-2025 16:14               12672
perl-lingua-stem-doc-2.31-r0.apk                   08-Jun-2025 16:14               34387
perl-lingua-stem-fr-0.02-r0.apk                    06-Jun-2025 14:57                6277
perl-lingua-stem-fr-doc-0.02-r0.apk                06-Jun-2025 14:57                4029
perl-lingua-stem-it-0.02-r0.apk                    06-Jun-2025 14:57                5347
perl-lingua-stem-it-doc-0.02-r0.apk                06-Jun-2025 14:57                3626
perl-lingua-stem-ru-0.04-r0.apk                    06-Jun-2025 14:57                4219
perl-lingua-stem-ru-doc-0.04-r0.apk                06-Jun-2025 14:57                3832
perl-lingua-stem-snowball-da-1.01-r0.apk           08-Jun-2025 16:14                4432
perl-lingua-stem-snowball-da-doc-1.01-r0.apk       08-Jun-2025 16:14                3102
perl-linux-pid-0.04-r15.apk                        30-Jun-2025 07:14                4678
perl-linux-pid-doc-0.04-r15.apk                    30-Jun-2025 07:14                3145
perl-list-binarysearch-0.25-r0.apk                 25-Oct-2024 20:07               10175
perl-list-binarysearch-doc-0.25-r0.apk             25-Oct-2024 20:07               11795
perl-list-binarysearch-xs-0.09-r2.apk              30-Jun-2025 07:14               11814
perl-list-binarysearch-xs-doc-0.09-r2.apk          30-Jun-2025 07:14                8330
perl-list-keywords-0.11-r1.apk                     30-Jun-2025 07:14               13836
perl-list-keywords-doc-0.11-r1.apk                 30-Jun-2025 07:14                5708
perl-log-fu-0.31-r4.apk                            25-Oct-2024 20:07               10738
perl-log-fu-doc-0.31-r4.apk                        25-Oct-2024 20:07                7415
perl-log-message-0.08-r3.apk                       25-Oct-2024 20:07               10838
perl-log-message-doc-0.08-r3.apk                   25-Oct-2024 20:07               12422
perl-log-message-simple-0.10-r3.apk                25-Oct-2024 20:07                4294
perl-log-message-simple-doc-0.10-r3.apk            25-Oct-2024 20:07                4022
perl-lwp-useragent-cached-0.08-r1.apk              25-Oct-2024 20:07                6517
perl-lwp-useragent-cached-doc-0.08-r1.apk          25-Oct-2024 20:07                5769
perl-mastodon-client-0.017-r0.apk                  25-Oct-2024 20:07               22567
perl-mastodon-client-doc-0.017-r0.apk              25-Oct-2024 20:07               33749
perl-math-int64-0.57-r2.apk                        30-Jun-2025 07:14               29599
perl-math-int64-doc-0.57-r2.apk                    30-Jun-2025 07:14               10785
perl-math-libm-1.00-r15.apk                        30-Jun-2025 07:14                9777
perl-math-libm-doc-1.00-r15.apk                    30-Jun-2025 07:14                3285
perl-math-random-0.75-r0.apk                       01-Oct-2025 04:55               35587
perl-math-random-doc-0.75-r0.apk                   01-Oct-2025 04:55               11585
perl-math-random-isaac-xs-1.004-r9.apk             30-Jun-2025 07:14                7407
perl-math-random-isaac-xs-doc-1.004-r9.apk         30-Jun-2025 07:14                3925
perl-mce-1.902-r0.apk                              10-Sep-2025 03:59              137685
perl-mce-doc-1.902-r0.apk                          10-Sep-2025 03:59              175339
perl-memoize-expirelru-0.56-r0.apk                 08-Jun-2025 16:14                6373
perl-memoize-expirelru-doc-0.56-r0.apk             08-Jun-2025 16:14                3934
perl-minion-11.0-r0.apk                            27-Aug-2025 08:14             1575490
perl-minion-backend-pg-11.0-r0.apk                 27-Aug-2025 08:14                9800
perl-minion-backend-redis-0.003-r0.apk             25-Oct-2024 20:07               10733
perl-minion-backend-redis-doc-0.003-r0.apk         25-Oct-2024 20:07                6714
perl-minion-backend-sqlite-5.0.7-r0.apk            25-Oct-2024 20:07               10343
perl-minion-backend-sqlite-doc-5.0.7-r0.apk        25-Oct-2024 20:07                6915
perl-minion-doc-11.0-r0.apk                        27-Aug-2025 08:14               51834
perl-module-build-prereqs-fromcpanfile-0.02-r0.apk 25-Oct-2024 20:07                3500
perl-module-build-prereqs-fromcpanfile-doc-0.02..> 25-Oct-2024 20:07                3844
perl-module-cpants-analyse-1.02-r0.apk             15-Jul-2025 08:22               27158
perl-module-cpants-analyse-doc-1.02-r0.apk         15-Jul-2025 08:22               28974
perl-module-extract-version-1.119-r0.apk           05-Sep-2025 06:56                3328
perl-module-extract-version-doc-1.119-r0.apk       05-Sep-2025 06:56                3542
perl-module-generic-1.1.3-r0.apk                   24-Oct-2025 17:52              331499
perl-module-generic-doc-1.1.3-r0.apk               24-Oct-2025 17:52              268654
perl-module-path-0.19-r0.apk                       08-Jun-2025 16:14                4827
perl-module-path-doc-0.19-r0.apk                   08-Jun-2025 16:14                5941
perl-mojo-reactor-ioasync-1.002-r0.apk             25-Oct-2024 20:07                4829
perl-mojo-reactor-ioasync-doc-1.002-r0.apk         25-Oct-2024 20:07                4462
perl-mojo-redis-3.29-r0.apk                        25-Oct-2024 20:07               25762
perl-mojo-redis-doc-3.29-r0.apk                    25-Oct-2024 20:07               25175
perl-mojo-sqlite-3.009-r0.apk                      25-Oct-2024 20:07               16316
perl-mojo-sqlite-doc-3.009-r0.apk                  25-Oct-2024 20:07               19803
perl-mojolicious-plugin-openapi-5.11-r0.apk        19-Mar-2025 11:44               29047
perl-mojolicious-plugin-openapi-doc-5.11-r0.apk    19-Mar-2025 11:44               34266
perl-moosex-configfromfile-0.14-r0.apk             16-Mar-2025 04:03                4187
perl-moosex-configfromfile-doc-0.14-r0.apk         16-Mar-2025 04:03                4253
perl-moosex-emulate-class-accessor-fast-0.00903..> 16-Mar-2025 04:03                5566
perl-moosex-emulate-class-accessor-fast-doc-0.0..> 16-Mar-2025 04:03                5474
perl-moosex-getopt-0.78-r0.apk                     16-Mar-2025 04:03               13949
perl-moosex-getopt-doc-0.78-r0.apk                 16-Mar-2025 04:03               25312
perl-moosex-markasmethods-0.15-r0.apk              04-Jun-2025 17:22                5229
perl-moosex-markasmethods-doc-0.15-r0.apk          04-Jun-2025 17:22                5328
perl-moosex-methodattributes-0.32-r0.apk           19-Mar-2025 11:44                8760
perl-moosex-methodattributes-doc-0.32-r0.apk       19-Mar-2025 11:44               22584
perl-moosex-nonmoose-0.27-r1.apk                   19-Jun-2025 10:55                8389
perl-moosex-nonmoose-doc-0.27-r1.apk               19-Jun-2025 10:55                9670
perl-moosex-object-pluggable-0.0014-r0.apk         22-Apr-2025 21:28                6124
perl-moosex-object-pluggable-doc-0.0014-r0.apk     22-Apr-2025 21:28                5629
perl-moosex-relatedclassroles-0.004-r0.apk         01-May-2025 06:34                2864
perl-moosex-relatedclassroles-doc-0.004-r0.apk     01-May-2025 06:34                3420
perl-moosex-role-parameterized-1.11-r0.apk         15-Mar-2025 14:08                7913
perl-moosex-role-parameterized-doc-1.11-r0.apk     15-Mar-2025 14:08               24066
perl-moosex-simpleconfig-0.11-r0.apk               16-Mar-2025 04:03                3883
perl-moosex-simpleconfig-doc-0.11-r0.apk           16-Mar-2025 04:03                4257
perl-moosex-traits-pluggable-0.12-r0.apk           01-May-2025 06:34                5065
perl-moosex-traits-pluggable-doc-0.12-r0.apk       01-May-2025 06:34                4307
perl-moosex-types-loadableclass-0.016-r0.apk       30-Apr-2025 16:21                3300
perl-moosex-types-loadableclass-doc-0.016-r0.apk   30-Apr-2025 16:21                3838
perl-moosex-types-path-tiny-0.012-r0.apk           15-Mar-2025 14:08                4100
perl-moosex-types-path-tiny-doc-0.012-r0.apk       15-Mar-2025 14:08                4214
perl-moosex-types-stringlike-0.003-r0.apk          15-Mar-2025 14:08                3120
perl-moosex-types-stringlike-doc-0.003-r0.apk      15-Mar-2025 14:08                3669
perl-moox-typetiny-0.002003-r0.apk                 09-Jun-2025 12:05                3636
perl-moox-typetiny-doc-0.002003-r0.apk             09-Jun-2025 12:05                3239
perl-multidimensional-0.014-r1.apk                 30-Jun-2025 07:14                4823
perl-multidimensional-doc-0.014-r1.apk             30-Jun-2025 07:14                3232
perl-musicbrainz-discid-0.06-r2.apk                30-Jun-2025 07:14                9075
perl-musicbrainz-discid-doc-0.06-r2.apk            30-Jun-2025 07:14                4467
perl-net-address-ip-local-0.1.2-r0.apk             25-Oct-2024 20:07                3510
perl-net-address-ip-local-doc-0.1.2-r0.apk         25-Oct-2024 20:07                3558
perl-net-amqp-rabbitmq-2.40014-r1.apk              20-Nov-2025 23:47               77596
perl-net-amqp-rabbitmq-doc-2.40014-r1.apk          20-Nov-2025 23:47               11068
perl-net-async-redis-6.006-r0.apk                  11-Dec-2024 16:22               59838
perl-net-async-redis-doc-6.006-r0.apk              11-Dec-2024 16:22               67477
perl-net-async-redis-xs-1.001-r2.apk               30-Jun-2025 07:14                8772
perl-net-async-redis-xs-doc-1.001-r2.apk           30-Jun-2025 07:14                5525
perl-net-curl-0.57-r1.apk                          30-Jun-2025 07:14               58303
perl-net-curl-doc-0.57-r1.apk                      30-Jun-2025 07:14               40345
perl-net-curl-promiser-0.20-r0.apk                 25-Oct-2024 20:07                9061
perl-net-curl-promiser-anyevent-0.20-r0.apk        25-Oct-2024 20:07                2770
perl-net-curl-promiser-doc-0.20-r0.apk             25-Oct-2024 20:07               11907
perl-net-curl-promiser-ioasync-0.20-r0.apk         25-Oct-2024 20:07                3025
perl-net-curl-promiser-mojo-0.20-r0.apk            25-Oct-2024 20:07                3167
perl-net-dbus-1.2.0-r0.apk                         13-Dec-2025 07:33               93746
perl-net-dbus-doc-1.2.0-r0.apk                     13-Dec-2025 07:33               96303
perl-net-idn-encode-2.500-r2.apk                   30-Jun-2025 07:14               85528
perl-net-idn-encode-doc-2.500-r2.apk               30-Jun-2025 07:14               22347
perl-net-irr-0.10-r0.apk                           25-Oct-2024 20:07                5583
perl-net-irr-doc-0.10-r0.apk                       25-Oct-2024 20:07                5273
perl-net-netmask-2.0003-r0.apk                     18-May-2025 04:10               13845
perl-net-netmask-doc-2.0003-r0.apk                 18-May-2025 04:10                8758
perl-net-patricia-1.24-r0.apk                      20-Nov-2025 22:15               20028
perl-net-patricia-doc-1.24-r0.apk                  20-Nov-2025 22:15                6438
perl-netaddr-mac-0.99-r0.apk                       21-Dec-2025 07:46               10193
perl-netaddr-mac-doc-0.99-r0.apk                   21-Dec-2025 07:46                8277
perl-nice-try-1.3.17-r0.apk                        24-Jul-2025 01:27               28526
perl-nice-try-doc-1.3.17-r0.apk                    24-Jul-2025 01:27               12801
perl-number-format-1.76-r1.apk                     25-Oct-2024 20:07               15605
perl-number-format-doc-1.76-r1.apk                 25-Oct-2024 20:07                9213
perl-number-tolerant-1.710-r0.apk                  25-Oct-2024 20:07               15171
perl-number-tolerant-doc-1.710-r0.apk              25-Oct-2024 20:07               26235
perl-object-array-0.060-r0.apk                     25-Oct-2024 20:07                5826
perl-object-array-doc-0.060-r0.apk                 25-Oct-2024 20:07                7132
perl-object-pad-fieldattr-checked-0.12-r1.apk      30-Jun-2025 07:14                8196
perl-object-pad-fieldattr-checked-doc-0.12-r1.apk  30-Jun-2025 07:14                4635
perl-object-signature-1.08-r0.apk                  04-Apr-2025 11:56                3760
perl-object-signature-doc-1.08-r0.apk              04-Apr-2025 11:56                5541
perl-openapi-client-1.07-r0.apk                    25-Oct-2024 20:07                8831
perl-openapi-client-doc-1.07-r0.apk                25-Oct-2024 20:07                7512
perl-opentracing-1.006-r0.apk                      25-Oct-2024 20:07               18393
perl-opentracing-doc-1.006-r0.apk                  25-Oct-2024 20:07               33488
perl-pango-1.227-r12.apk                           30-Jun-2025 07:14               75048
perl-pango-doc-1.227-r12.apk                       30-Jun-2025 07:14               84348
perl-parse-distname-0.05-r0.apk                    15-Jul-2025 08:22                5601
perl-parse-distname-doc-0.05-r0.apk                15-Jul-2025 08:22                4478
perl-path-dispatcher-1.08-r0.apk                   09-Jun-2025 12:05               14566
perl-path-dispatcher-doc-1.08-r0.apk               09-Jun-2025 12:05               38637
perl-path-iter-0.2-r3.apk                          25-Oct-2024 20:07                5322
perl-path-iter-doc-0.2-r3.apk                      25-Oct-2024 20:07                5256
perl-perlio-locale-0.10-r13.apk                    30-Jun-2025 07:14                4449
perl-perlio-locale-doc-0.10-r13.apk                30-Jun-2025 07:14                3188
perl-plack-middleware-expires-0.06-r3.apk          25-Oct-2024 20:07                3947
perl-plack-middleware-expires-doc-0.06-r3.apk      25-Oct-2024 20:07                3407
perl-plack-middleware-fixmissingbodyinredirect-..> 26-Dec-2024 09:36                3156
perl-plack-middleware-fixmissingbodyinredirect-..> 26-Dec-2024 09:36                3140
perl-plack-middleware-methodoverride-0.20-r0.apk   19-Mar-2025 11:44                3727
perl-plack-middleware-methodoverride-doc-0.20-r..> 19-Mar-2025 11:44                4141
perl-plack-middleware-removeredundantbody-0.09-..> 27-Dec-2024 14:02                2566
perl-plack-middleware-removeredundantbody-doc-0..> 27-Dec-2024 14:02                3152
perl-plack-middleware-reverseproxy-0.16-r2.apk     25-Oct-2024 20:07                3218
perl-plack-middleware-reverseproxy-doc-0.16-r2.apk 25-Oct-2024 20:07                3105
perl-plack-test-externalserver-0.02-r0.apk         19-Mar-2025 11:44                2877
perl-plack-test-externalserver-doc-0.02-r0.apk     19-Mar-2025 11:44                3202
perl-pod-cpandoc-0.16-r6.apk                       25-Oct-2024 20:07                4664
perl-pod-cpandoc-doc-0.16-r6.apk                   25-Oct-2024 20:07                4996
perl-pod-tidy-0.10-r1.apk                          25-Oct-2024 20:07               10608
perl-pod-tidy-doc-0.10-r1.apk                      25-Oct-2024 20:07               10781
perl-ppi-xs-0.910-r2.apk                           30-Jun-2025 07:14                5762
perl-ppi-xs-doc-0.910-r2.apk                       30-Jun-2025 07:14                3512
perl-prereqscanner-notquitelite-0.9917-r0.apk      15-Jul-2025 08:22               42013
perl-prereqscanner-notquitelite-doc-0.9917-r0.apk  15-Jul-2025 08:22               50259
perl-proc-guard-0.07-r4.apk                        25-Oct-2024 20:07                3757
perl-proc-guard-doc-0.07-r4.apk                    25-Oct-2024 20:07                3604
perl-promise-es6-0.28-r0.apk                       25-Oct-2024 20:07               10953
perl-promise-es6-anyevent-0.28-r0.apk              25-Oct-2024 20:07                2549
perl-promise-es6-doc-0.28-r0.apk                   25-Oct-2024 20:07               12340
perl-promise-es6-future-0.28-r0.apk                25-Oct-2024 20:07                2338
perl-promise-es6-io-async-0.28-r0.apk              25-Oct-2024 20:07                3014
perl-promise-es6-mojo-ioloop-0.28-r0.apk           25-Oct-2024 20:07                2624
perl-promise-me-0.6.0-r0.apk                       09-Aug-2025 09:47               27790
perl-promise-me-doc-0.6.0-r0.apk                   09-Aug-2025 09:47               13137
perl-promise-xs-0.20-r2.apk                        30-Jun-2025 07:14               22139
perl-promise-xs-doc-0.20-r2.apk                    30-Jun-2025 07:14                8968
perl-protocol-database-postgresql-2.001-r0.apk     25-Oct-2024 20:07               19621
perl-protocol-database-postgresql-doc-2.001-r0.apk 25-Oct-2024 20:07               36701
perl-protocol-redis-1.0021-r0.apk                  25-Oct-2024 20:07                5750
perl-protocol-redis-doc-1.0021-r0.apk              25-Oct-2024 20:07                5157
perl-protocol-redis-faster-0.003-r0.apk            25-Oct-2024 20:07                3488
perl-protocol-redis-faster-doc-0.003-r0.apk        25-Oct-2024 20:07                3383
perl-ref-util-xs-0.117-r9.apk                      30-Jun-2025 07:14                8829
perl-ref-util-xs-doc-0.117-r9.apk                  30-Jun-2025 07:14                3554
perl-regexp-trie-0.02-r0.apk                       15-Jul-2025 08:22                3049
perl-regexp-trie-doc-0.02-r0.apk                   15-Jul-2025 08:22                3388
perl-role-eventemitter-0.003-r0.apk                25-Oct-2024 20:07                3741
perl-role-eventemitter-doc-0.003-r0.apk            25-Oct-2024 20:07                4025
perl-rxperl-6.29.8-r0.apk                          25-Oct-2024 20:07               26914
perl-rxperl-anyevent-6.8.1-r0.apk                  25-Oct-2024 20:07                2775
perl-rxperl-anyevent-doc-6.8.1-r0.apk              25-Oct-2024 20:07                9155
perl-rxperl-doc-6.29.8-r0.apk                      25-Oct-2024 20:07               23118
perl-rxperl-ioasync-6.9.1-r0.apk                   25-Oct-2024 20:07                2880
perl-rxperl-ioasync-doc-6.9.1-r0.apk               25-Oct-2024 20:07                9200
perl-rxperl-mojo-6.8.2-r0.apk                      25-Oct-2024 20:07                2910
perl-rxperl-mojo-doc-6.8.2-r0.apk                  25-Oct-2024 20:07                9276
perl-ryu-4.001-r0.apk                              25-Oct-2024 20:07               26688
perl-ryu-async-0.020-r0.apk                        25-Oct-2024 20:07                7669
perl-ryu-async-doc-0.020-r0.apk                    25-Oct-2024 20:07               12100
perl-ryu-doc-4.001-r0.apk                          25-Oct-2024 20:07               36245
perl-scalar-readonly-0.03-r2.apk                   30-Jun-2025 07:14                5275
perl-scalar-readonly-doc-0.03-r2.apk               30-Jun-2025 07:14                3510
perl-set-infinite-0.65-r0.apk                      24-Jul-2025 01:27               23438
perl-set-infinite-doc-0.65-r0.apk                  24-Jul-2025 01:27               11737
perl-shell-config-generate-0.34-r0.apk             08-Jun-2025 16:14                8026
perl-shell-config-generate-doc-0.34-r0.apk         08-Jun-2025 16:14                6994
perl-shell-guess-0.10-r0.apk                       07-Jun-2025 14:55                6115
perl-shell-guess-doc-0.10-r0.apk                   07-Jun-2025 14:55                5916
perl-signature-attribute-checked-0.06-r1.apk       30-Jun-2025 07:14                7785
perl-signature-attribute-checked-doc-0.06-r1.apk   30-Jun-2025 07:14                4747
perl-smart-comments-1.06-r0.apk                    07-Jul-2025 17:02               12575
perl-smart-comments-doc-1.06-r0.apk                07-Jul-2025 17:02                8726
perl-snmp-5.0404-r14.apk                           30-Jun-2025 07:14               68567
perl-snmp-doc-5.0404-r14.apk                       30-Jun-2025 07:14               14437
perl-snmp-info-3.974000-r0.apk                     12-Sep-2025 04:49              345034
perl-snmp-info-doc-3.974000-r0.apk                 12-Sep-2025 04:49              398937
perl-snowball-norwegian-1.2-r0.apk                 08-Jun-2025 16:14                5398
perl-snowball-norwegian-doc-1.2-r0.apk             08-Jun-2025 16:14                3989
perl-snowball-swedish-1.2-r0.apk                   08-Jun-2025 16:14                5367
perl-snowball-swedish-doc-1.2-r0.apk               08-Jun-2025 16:14                3954
perl-soap-lite-1.27-r5.apk                         25-Oct-2024 20:07              112849
perl-soap-lite-doc-1.27-r5.apk                     25-Oct-2024 20:07               92621
perl-software-license-0.104007-r0.apk              08-Jul-2025 16:53              109184
perl-software-license-doc-0.104007-r0.apk          08-Jul-2025 16:53               51650
perl-sort-naturally-1.03-r4.apk                    25-Oct-2024 20:07                8855
perl-sort-naturally-doc-1.03-r4.apk                25-Oct-2024 20:07                5570
perl-sort-versions-1.62-r0.apk                     25-Oct-2024 20:07                3808
perl-sort-versions-doc-1.62-r0.apk                 25-Oct-2024 20:07                4223
perl-sql-abstract-classic-1.91-r1.apk              25-Oct-2024 20:07               30209
perl-sql-abstract-classic-doc-1.91-r1.apk          25-Oct-2024 20:07               20699
perl-sql-abstract-more-1.44-r0.apk                 15-Jul-2025 08:22               28225
perl-sql-abstract-more-doc-1.44-r0.apk             15-Jul-2025 08:22               17073
perl-starman-0.4017-r0.apk                         25-Oct-2024 20:07               13735
perl-starman-doc-0.4017-r0.apk                     25-Oct-2024 20:07               10220
perl-statistics-basic-1.6611-r0.apk                25-Oct-2024 20:07                9437
perl-statistics-basic-doc-1.6611-r0.apk            25-Oct-2024 20:07               50976
perl-statistics-descriptive-3.0801-r0.apk          25-Oct-2024 20:07               31016
perl-statistics-descriptive-doc-3.0801-r0.apk      25-Oct-2024 20:07               38391
perl-storable-improved-0.1.3-r0.apk                25-Oct-2024 20:07                6839
perl-storable-improved-doc-0.1.3-r0.apk            25-Oct-2024 20:07                7053
perl-string-camelcase-0.04-r2.apk                  25-Oct-2024 20:07                3245
perl-string-camelcase-doc-0.04-r2.apk              25-Oct-2024 20:07                3522
perl-string-compare-constanttime-0.321-r7.apk      30-Jun-2025 07:14                7295
perl-string-compare-constanttime-doc-0.321-r7.apk  30-Jun-2025 07:14                5415
perl-string-crc32-2.100-r5.apk                     30-Jun-2025 07:14                6911
perl-string-crc32-doc-2.100-r5.apk                 30-Jun-2025 07:14                3517
perl-string-escape-2010.002-r0.apk                 28-Mar-2025 16:15                9083
perl-string-escape-doc-2010.002-r0.apk             28-Mar-2025 16:15                8018
perl-string-toidentifier-en-0.12-r0.apk            09-Jun-2025 12:06                5573
perl-string-toidentifier-en-doc-0.12-r0.apk        09-Jun-2025 12:06                5715
perl-syntax-keyword-match-0.15-r1.apk              30-Jun-2025 07:14               13592
perl-syntax-keyword-match-doc-0.15-r1.apk          30-Jun-2025 07:14                8027
perl-syntax-operator-equ-0.10-r1.apk               30-Jun-2025 07:14                7942
perl-syntax-operator-equ-doc-0.10-r1.apk           30-Jun-2025 07:14                6729
perl-syntax-operator-in-0.10-r1.apk                30-Jun-2025 07:14                9599
perl-syntax-operator-in-doc-0.10-r1.apk            30-Jun-2025 07:14                6048
perl-sys-virt-11.10.0-r0.apk                       03-Dec-2025 16:05              211785
perl-sys-virt-doc-11.10.0-r0.apk                   03-Dec-2025 16:05              109040
perl-system-command-1.122-r0.apk                   25-Oct-2024 20:07               12098
perl-system-command-doc-1.122-r0.apk               25-Oct-2024 20:07               10412
perl-task-catalyst-4.02-r0.apk                     15-Jun-2025 04:46                3020
perl-task-catalyst-doc-4.02-r0.apk                 15-Jun-2025 04:46                3769
perl-template-plugin-csv-0.04-r3.apk               25-Oct-2024 20:07                2755
perl-template-plugin-csv-doc-0.04-r3.apk           25-Oct-2024 20:07                3083
perl-template-plugin-number-format-1.06-r4.apk     25-Oct-2024 20:07                5004
perl-template-plugin-number-format-doc-1.06-r4.apk 25-Oct-2024 20:07                4480
perl-template-timer-1.00-r0.apk                    12-Apr-2025 15:51                3511
perl-template-timer-doc-1.00-r0.apk                12-Apr-2025 15:51                3743
perl-template-tiny-1.16-r0.apk                     24-Jul-2025 12:01                5681
perl-template-tiny-doc-1.16-r0.apk                 24-Jul-2025 12:01                5137
perl-term-size-0.211-r5.apk                        30-Jun-2025 07:14                5663
perl-term-size-doc-0.211-r5.apk                    30-Jun-2025 07:14                3894
perl-term-ui-0.50-r1.apk                           25-Oct-2024 20:07               10209
perl-term-ui-doc-0.50-r1.apk                       25-Oct-2024 20:07                8680
perl-test-api-0.010-r2.apk                         25-Oct-2024 20:07                5191
perl-test-api-doc-0.010-r2.apk                     25-Oct-2024 20:07                4332
perl-test-class-tiny-0.03-r0.apk                   25-Oct-2024 20:07                5995
perl-test-class-tiny-doc-0.03-r0.apk               25-Oct-2024 20:07                5548
perl-test-describeme-0.004-r0.apk                  25-Oct-2024 20:07                3675
perl-test-describeme-doc-0.004-r0.apk              25-Oct-2024 20:07                4283
perl-test-distribution-2.00-r1.apk                 25-Oct-2024 20:07                7923
perl-test-distribution-doc-2.00-r1.apk             25-Oct-2024 20:07                6202
perl-test-expander-2.5.1-r0.apk                    25-Oct-2024 20:07                7281
perl-test-expander-doc-2.5.1-r0.apk                25-Oct-2024 20:07               20559
perl-test-expect-0.34-r0.apk                       22-Apr-2025 21:28                3553
perl-test-expect-doc-0.34-r0.apk                   22-Apr-2025 21:28                3659
perl-test-file-1.995-r0.apk                        19-Apr-2025 17:55               11686
perl-test-file-doc-1.995-r0.apk                    19-Apr-2025 17:55                6958
perl-test-files-0.26-r0.apk                        25-Oct-2024 20:07                6872
perl-test-files-doc-0.26-r0.apk                    25-Oct-2024 20:07               14925
perl-test-kwalitee-1.28-r0.apk                     15-Jul-2025 08:22                6535
perl-test-kwalitee-doc-1.28-r0.apk                 15-Jul-2025 08:22                7058
perl-test-lwp-useragent-0.036-r0.apk               25-Oct-2024 20:07               10045
perl-test-lwp-useragent-doc-0.036-r0.apk           25-Oct-2024 20:07                8528
perl-test-memorygrowth-0.05-r0.apk                 25-Oct-2024 20:07                6574
perl-test-memorygrowth-doc-0.05-r0.apk             25-Oct-2024 20:07                5413
perl-test-modern-0.013-r3.apk                      25-Oct-2024 20:07               14955
perl-test-modern-doc-0.013-r3.apk                  25-Oct-2024 20:07               10083
perl-test-perl-critic-1.04-r0.apk                  15-Jul-2025 08:22                6997
perl-test-perl-critic-doc-1.04-r0.apk              15-Jul-2025 08:22                6564
perl-test-randomresult-0.001-r0.apk                25-Oct-2024 20:07                3603
perl-test-randomresult-doc-0.001-r0.apk            25-Oct-2024 20:07                3761
perl-test-requires-git-1.008-r0.apk                25-Oct-2024 20:07                4878
perl-test-requires-git-doc-1.008-r0.apk            25-Oct-2024 20:07                4486
perl-test-roo-1.004-r3.apk                         25-Oct-2024 20:07               12174
perl-test-roo-doc-1.004-r3.apk                     25-Oct-2024 20:07               15817
perl-test-settings-0.003-r0.apk                    25-Oct-2024 20:07                5067
perl-test-settings-doc-0.003-r0.apk                25-Oct-2024 20:07                6187
perl-test-trap-0.3.5-r1.apk                        25-Oct-2024 20:07               20226
perl-test-trap-doc-0.3.5-r1.apk                    25-Oct-2024 20:07               20361
perl-test-unit-0.29-r0.apk                         10-Dec-2025 10:25               36364
perl-test-unit-doc-0.29-r0.apk                     10-Dec-2025 10:25               49974
perl-test-useallmodules-0.17-r1.apk                25-Oct-2024 20:07                3890
perl-test-useallmodules-doc-0.17-r1.apk            25-Oct-2024 20:07                3938
perl-test-utf8-1.03-r0.apk                         19-Nov-2024 22:34                5705
perl-test-utf8-doc-1.03-r0.apk                     19-Nov-2024 22:34                4976
perl-test-www-mechanize-1.60-r0.apk                13-Apr-2025 14:57               15168
perl-test-www-mechanize-catalyst-0.62-r0.apk       20-Apr-2025 04:32                7534
perl-test-www-mechanize-catalyst-doc-0.62-r0.apk   20-Apr-2025 04:32                6375
perl-test-www-mechanize-doc-1.60-r0.apk            13-Apr-2025 14:57               10322
perl-test2-tools-explain-0.02-r0.apk               25-Oct-2024 20:07                3882
perl-test2-tools-explain-doc-0.02-r0.apk           25-Oct-2024 20:07                4520
perl-text-brew-0.02-r5.apk                         25-Oct-2024 20:07                4618
perl-text-brew-doc-0.02-r5.apk                     25-Oct-2024 20:07                4218
perl-text-german-0.06-r0.apk                       07-Jun-2025 02:00               13408
perl-text-german-doc-0.06-r0.apk                   07-Jun-2025 02:00                3107
perl-text-simpletable-2.07-r0.apk                  19-Mar-2025 11:44                4569
perl-text-simpletable-doc-2.07-r0.apk              19-Mar-2025 11:44                3535
perl-text-table-any-0.117-r0.apk                   25-Oct-2024 20:07                8245
perl-text-table-any-doc-0.117-r0.apk               25-Oct-2024 20:07                6786
perl-text-table-sprintf-0.008-r0.apk               25-Oct-2024 20:07                5465
perl-text-table-sprintf-doc-0.008-r0.apk           25-Oct-2024 20:07                5322
perl-text-worddiff-0.09-r0.apk                     09-Jun-2025 16:26               10681
perl-text-worddiff-doc-0.09-r0.apk                 09-Jun-2025 16:26               14003
perl-throwable-1.001-r1.apk                        25-Oct-2024 20:07                6354
perl-throwable-doc-1.001-r1.apk                    25-Oct-2024 20:07                8185
perl-tickit-widget-choice-0.07-r0.apk              25-Oct-2024 20:07                4005
perl-tickit-widget-choice-doc-0.07-r0.apk          25-Oct-2024 20:07                3473
perl-tickit-widget-entry-plugin-completion-0.02..> 25-Oct-2024 20:07                4717
perl-tickit-widget-entry-plugin-completion-doc-..> 25-Oct-2024 20:07                3921
perl-tickit-widget-floatbox-0.11-r0.apk            25-Oct-2024 20:07                4787
perl-tickit-widget-floatbox-doc-0.11-r0.apk        25-Oct-2024 20:07                4228
perl-tickit-widget-menu-0.16-r0.apk                25-Oct-2024 20:07                7333
perl-tickit-widget-menu-doc-0.16-r0.apk            25-Oct-2024 20:07                7057
perl-tickit-widget-scrollbox-0.12-r0.apk           25-Oct-2024 20:07                8173
perl-tickit-widget-scrollbox-doc-0.12-r0.apk       25-Oct-2024 20:07                6679
perl-tie-toobject-0.03-r0.apk                      19-Mar-2025 11:44                2666
perl-tie-toobject-doc-0.03-r0.apk                  19-Mar-2025 11:44                3244
perl-time-moment-0.46-r0.apk                       04-Dec-2025 17:58               40747
perl-time-moment-doc-0.46-r0.apk                   04-Dec-2025 17:58               36524
perl-time-moment-role-strptime-0.001-r0.apk        25-Oct-2024 20:07                2815
perl-time-moment-role-strptime-doc-0.001-r0.apk    25-Oct-2024 20:07                3444
perl-time-moment-role-timezone-1.000-r0.apk        25-Oct-2024 20:07                3647
perl-time-moment-role-timezone-doc-1.000-r0.apk    25-Oct-2024 20:07                4080
perl-time-timegm-0.01-r10.apk                      30-Jun-2025 07:14                6603
perl-time-timegm-doc-0.01-r10.apk                  30-Jun-2025 07:14                3964
perl-tree-simple-visitorfactory-0.16-r0.apk        19-Mar-2025 11:44               20387
perl-tree-simple-visitorfactory-doc-0.16-r0.apk    19-Mar-2025 11:44               47285
perl-types-path-tiny-0.006-r0.apk                  25-Oct-2024 20:07                4024
perl-types-path-tiny-doc-0.006-r0.apk              25-Oct-2024 20:07                4171
perl-uri-db-0.23-r0.apk                            09-Jan-2025 05:26               11151
perl-uri-db-doc-0.23-r0.apk                        09-Jan-2025 05:26                8551
perl-uri-fetch-0.15-r0.apk                         25-Oct-2024 20:07                7201
perl-uri-fetch-doc-0.15-r0.apk                     25-Oct-2024 20:07                7677
perl-uri-find-20160806-r0.apk                      23-Mar-2025 07:58               13947
perl-uri-find-doc-20160806-r0.apk                  23-Mar-2025 07:58                9346
perl-uri-nested-0.10-r0.apk                        25-Oct-2024 20:07                4081
perl-uri-nested-doc-0.10-r0.apk                    25-Oct-2024 20:07                3972
perl-uri-redis-0.02-r0.apk                         25-Oct-2024 20:07                3238
perl-uri-redis-doc-0.02-r0.apk                     25-Oct-2024 20:07                4659
perl-uri-tcp-2.0.0-r0.apk                          25-Oct-2024 20:07                2754
perl-uri-tcp-doc-2.0.0-r0.apk                      25-Oct-2024 20:07                5051
perl-uri-ws-0.03-r0.apk                            19-Mar-2025 11:44                2396
perl-uri-ws-doc-0.03-r0.apk                        19-Mar-2025 11:44                4432
perl-url-encode-0.03-r4.apk                        25-Oct-2024 20:07                5257
perl-url-encode-doc-0.03-r4.apk                    25-Oct-2024 20:07                4792
perl-variable-disposition-0.005-r0.apk             25-Oct-2024 20:07                3326
perl-variable-disposition-doc-0.005-r0.apk         25-Oct-2024 20:07                5764
perl-wanted-0.1.0-r0.apk                           09-Aug-2025 09:47               19495
perl-wanted-doc-0.1.0-r0.apk                       09-Aug-2025 09:47               10984
perl-web-machine-0.17-r0.apk                       13-Jun-2025 18:17               20764
perl-web-machine-doc-0.17-r0.apk                   13-Jun-2025 18:17               29396
perl-web-scraper-0.38-r0.apk                       15-Jul-2025 08:22                7708
perl-web-scraper-doc-0.38-r0.apk                   15-Jul-2025 08:22                8265
perl-x-tiny-0.22-r0.apk                            25-Oct-2024 20:07                7034
perl-x-tiny-doc-0.22-r0.apk                        25-Oct-2024 20:07                7776
perl-x11-korgwm-5.0-r0.apk                         13-Dec-2025 07:33               41630
perl-x11-korgwm-doc-5.0-r0.apk                     13-Dec-2025 07:33               11776
perl-x11-xcb-0.24-r0.apk                           13-Dec-2025 07:33              163979
perl-x11-xcb-doc-0.24-r0.apk                       13-Dec-2025 07:33               13594
perl-xml-atom-0.43-r0.apk                          25-Oct-2024 20:07               20055
perl-xml-atom-doc-0.43-r0.apk                      25-Oct-2024 20:07               16270
perl-xml-bare-0.53-r14.apk                         30-Jun-2025 07:14               27702
perl-xml-bare-doc-0.53-r14.apk                     30-Jun-2025 07:14               11739
perl-xml-descent-1.04-r0.apk                       13-Dec-2025 07:33                7644
perl-xml-descent-doc-1.04-r0.apk                   13-Dec-2025 07:33                7311
perl-xml-feed-1.0.0-r0.apk                         17-Nov-2025 18:33               14654
perl-xml-feed-doc-1.0.0-r0.apk                     17-Nov-2025 18:33               13180
perl-xml-parser-style-easytree-0.09-r0.apk         25-Oct-2024 20:07                5091
perl-xml-parser-style-easytree-doc-0.09-r0.apk     25-Oct-2024 20:07                5546
perl-xml-rpc-2.1-r0.apk                            25-Oct-2024 20:07                5869
perl-xml-rpc-doc-2.1-r0.apk                        25-Oct-2024 20:07                4975
perl-xml-tokeparser-0.05-r0.apk                    13-Dec-2025 07:33                8068
perl-xml-tokeparser-doc-0.05-r0.apk                13-Dec-2025 07:33                7069
perl-xml-xpathengine-0.14-r0.apk                   08-Jul-2025 16:54               22222
perl-xml-xpathengine-doc-0.14-r0.apk               08-Jul-2025 16:54               11290
perl-xs-object-magic-0.05-r0.apk                   13-Dec-2025 07:33                9884
perl-xs-object-magic-doc-0.05-r0.apk               13-Dec-2025 07:33                5454
persistent-cache-cpp-1.0.9-r0.apk                  08-Sep-2025 00:59               46087
persistent-cache-cpp-dev-1.0.9-r0.apk              08-Sep-2025 00:59               18268
persistent-cache-cpp-doc-1.0.9-r0.apk              08-Sep-2025 00:59                3273
pest-language-server-0.3.9-r0.apk                  25-Oct-2024 20:07             1150103
petitboot-1.15-r0.apk                              14-Nov-2025 22:05              178780
petitboot-dbg-1.15-r0.apk                          14-Nov-2025 22:05              596316
petitboot-doc-1.15-r0.apk                          14-Nov-2025 22:05                8245
pfetch-1.9.4-r0.apk                                21-Oct-2025 14:59               23816
pfetch-doc-1.9.4-r0.apk                            21-Oct-2025 14:59                5860
pgcat-1.2.0-r1.apk                                 01-Jan-2025 17:37             2702659
pgcat-openrc-1.2.0-r1.apk                          01-Jan-2025 17:37                1923
phoronix-test-suite-10.8.4-r2.apk                  25-Oct-2024 20:07             4138347
phoronix-test-suite-bash-completion-10.8.4-r2.apk  25-Oct-2024 20:07                1791
phoronix-test-suite-doc-10.8.4-r2.apk              25-Oct-2024 20:07              294354
phosh-osk-data-0.42.0-r0.apk                       16-Dec-2025 16:45                1310
phosh-osk-data-de-0.42.0-r0.apk                    16-Dec-2025 16:45            67470853
phosh-osk-data-es-0.42.0-r0.apk                    16-Dec-2025 16:45            54638076
phosh-osk-data-fi-0.42.0-r0.apk                    16-Dec-2025 16:45            66776083
phosh-osk-data-it-0.42.0-r0.apk                    16-Dec-2025 16:45            60859723
phosh-osk-data-nl-0.42.0-r0.apk                    16-Dec-2025 16:45            56148308
phosh-osk-data-pl-0.42.0-r0.apk                    16-Dec-2025 16:45            59452230
phosh-osk-data-pt-0.42.0-r0.apk                    16-Dec-2025 16:45            59480683
phosh-osk-data-ru-0.42.0-r0.apk                    16-Dec-2025 16:45            20889789
phosh-osk-data-se-0.42.0-r0.apk                    16-Dec-2025 16:45            15823477
phosh-osk-data-uk-0.42.0-r0.apk                    16-Dec-2025 16:45            17163380
phosh-tour-0.50.0-r2.apk                           15-Dec-2025 13:14               38865
phosh-tour-lang-0.50.0-r2.apk                      15-Dec-2025 13:14               33672
phosh-tour-systemd-0.50.0-r2.apk                   15-Dec-2025 13:14                1805
php81-8.1.34-r0.apk                                17-Dec-2025 02:26             1791053
php81-apache2-8.1.34-r0.apk                        17-Dec-2025 02:26             1758092
php81-bcmath-8.1.34-r0.apk                         17-Dec-2025 02:26               14676
php81-bz2-8.1.34-r0.apk                            17-Dec-2025 02:26                9324
php81-calendar-8.1.34-r0.apk                       17-Dec-2025 02:26               13596
php81-cgi-8.1.34-r0.apk                            17-Dec-2025 02:26             1766662
php81-common-8.1.34-r0.apk                         17-Dec-2025 02:26               25763
php81-ctype-8.1.34-r0.apk                          17-Dec-2025 02:26                4674
php81-curl-8.1.34-r0.apk                           17-Dec-2025 02:26               36576
php81-dba-8.1.34-r0.apk                            17-Dec-2025 02:26               21019
php81-dev-8.1.34-r0.apk                            17-Dec-2025 02:26              961653
php81-doc-8.1.34-r0.apk                            17-Dec-2025 02:26               69975
php81-dom-8.1.34-r0.apk                            17-Dec-2025 02:26               58433
php81-embed-8.1.34-r0.apk                          17-Dec-2025 02:26             1752416
php81-enchant-8.1.34-r0.apk                        17-Dec-2025 02:26                8246
php81-exif-8.1.34-r0.apk                           17-Dec-2025 02:26               31662
php81-ffi-8.1.34-r0.apk                            17-Dec-2025 02:26               65572
php81-fileinfo-8.1.34-r0.apk                       17-Dec-2025 02:26              384613
php81-fpm-8.1.34-r0.apk                            17-Dec-2025 02:26             1837571
php81-ftp-8.1.34-r0.apk                            17-Dec-2025 02:26               21999
php81-gd-8.1.34-r0.apk                             17-Dec-2025 02:26              117934
php81-gettext-8.1.34-r0.apk                        17-Dec-2025 02:26                5740
php81-gmp-8.1.34-r0.apk                            17-Dec-2025 02:26               19990
php81-iconv-8.1.34-r0.apk                          17-Dec-2025 02:26               16902
php81-imap-8.1.34-r0.apk                           17-Dec-2025 02:26               32647
php81-intl-8.1.34-r0.apk                           17-Dec-2025 02:26              135148
php81-ldap-8.1.34-r0.apk                           17-Dec-2025 02:26               30583
php81-litespeed-8.1.34-r0.apk                      17-Dec-2025 02:26             1778570
php81-mbstring-8.1.34-r0.apk                       17-Dec-2025 02:26              576982
php81-mysqli-8.1.34-r0.apk                         17-Dec-2025 02:26               41141
php81-mysqlnd-8.1.34-r0.apk                        17-Dec-2025 02:26               76905
php81-odbc-8.1.34-r0.apk                           17-Dec-2025 02:26               22708
php81-opcache-8.1.34-r0.apk                        17-Dec-2025 02:26               66900
php81-openssl-8.1.34-r0.apk                        17-Dec-2025 02:26               70627
php81-pcntl-8.1.34-r0.apk                          17-Dec-2025 02:26               13007
php81-pdo-8.1.34-r0.apk                            17-Dec-2025 02:26               39776
php81-pdo_dblib-8.1.34-r0.apk                      17-Dec-2025 02:26               11285
php81-pdo_mysql-8.1.34-r0.apk                      17-Dec-2025 02:26               12589
php81-pdo_odbc-8.1.34-r0.apk                       17-Dec-2025 02:26               12009
php81-pdo_pgsql-8.1.34-r0.apk                      17-Dec-2025 02:26               18061
php81-pdo_sqlite-8.1.34-r0.apk                     17-Dec-2025 02:26               11960
php81-pear-8.1.34-r0.apk                           17-Dec-2025 02:26              346001
php81-pecl-amqp-2.1.2-r0.apk                       25-Oct-2024 20:07               60711
php81-pecl-apcu-5.1.28-r0.apk                      07-Dec-2025 15:54               54836
php81-pecl-ast-1.1.3-r0.apk                        10-Aug-2025 17:43               21826
php81-pecl-brotli-0.18.3-r0.apk                    01-Dec-2025 02:45               14540
php81-pecl-couchbase-4.3.0-r0.apk                  15-Jun-2025 04:01             4681099
php81-pecl-csv-0.4.3-r0.apk                        25-Feb-2025 15:45               10108
php81-pecl-decimal-1.5.0-r1.apk                    25-Oct-2024 20:07               18339
php81-pecl-ds-1.6.0-r0.apk                         14-May-2025 21:14               52580
php81-pecl-event-3.1.4-r0.apk                      25-Oct-2024 20:07               49329
php81-pecl-grpc-1.76.0-r0.apk                      24-Oct-2025 19:25             4368359
php81-pecl-igbinary-3.2.17_rc1-r0.apk              27-Nov-2025 21:26               29809
php81-pecl-imagick-3.8.1-r0.apk                    28-Nov-2025 00:07              107500
php81-pecl-imagick-dev-3.8.1-r0.apk                28-Nov-2025 00:07                2349
php81-pecl-immutable_cache-6.1.0-r0.apk            25-Oct-2024 20:07               38381
php81-pecl-jsmin-3.0.0-r0.apk                      25-Oct-2024 20:07               10091
php81-pecl-luasandbox-4.1.2-r0.apk                 25-Oct-2024 20:07               30102
php81-pecl-lzf-1.7.0-r0.apk                        25-Oct-2024 20:07                7008
php81-pecl-mailparse-3.1.9-r0.apk                  30-Sep-2025 12:38               22727
php81-pecl-maxminddb-1.13.0-r0.apk                 21-Nov-2025 00:42                8389
php81-pecl-mcrypt-1.0.9-r0.apk                     05-Aug-2025 12:42               15661
php81-pecl-memcache-8.2-r1.apk                     25-Oct-2024 20:07               43391
php81-pecl-memcached-3.4.0-r0.apk                  13-Oct-2025 08:36               46989
php81-pecl-mongodb-2.1.4-r0.apk                    08-Oct-2025 22:16              857213
php81-pecl-msgpack-3.0.0-r0.apk                    25-Oct-2024 20:07               27711
php81-pecl-oauth-2.0.10-r0.apk                     09-Oct-2025 18:37               36442
php81-pecl-opentelemetry-1.2.1-r0.apk              07-Oct-2025 21:03               12323
php81-pecl-pcov-1.0.12-r0.apk                      04-Dec-2024 17:17                9460
php81-pecl-protobuf-4.32.1-r0.apk                  01-Oct-2025 01:59              145306
php81-pecl-psr-1.2.0-r0.apk                        25-Oct-2024 20:07               18093
php81-pecl-rdkafka-6.0.5-r0.apk                    04-Nov-2024 12:51               36616
php81-pecl-redis-6.3.0-r0.apk                      07-Nov-2025 16:49              187038
php81-pecl-smbclient-1.2.0_pre-r0.apk              10-Dec-2024 19:01               20827
php81-pecl-ssh2-1.4.1-r0.apk                       25-Oct-2024 20:07               28355
php81-pecl-timezonedb-2025.2-r0.apk                27-Mar-2025 11:06              195240
php81-pecl-uploadprogress-2.0.2-r1.apk             25-Oct-2024 20:07                6927
php81-pecl-uploadprogress-doc-2.0.2-r1.apk         25-Oct-2024 20:07               10161
php81-pecl-uuid-1.3.0-r0.apk                       14-May-2025 21:14                6616
php81-pecl-xdebug-3.5.0-r0.apk                     04-Dec-2025 22:56              156903
php81-pecl-xhprof-2.3.10-r0.apk                    25-Oct-2024 20:07               12444
php81-pecl-xhprof-assets-2.3.10-r0.apk             25-Oct-2024 20:07              819825
php81-pecl-xlswriter-1.5.8-r0.apk                  11-Nov-2024 01:44              225173
php81-pecl-xmlrpc-1.0.0_rc3-r2.apk                 25-Oct-2024 20:07               33828
php81-pecl-yaml-2.3.0-r0.apk                       12-Nov-2025 17:55               18509
php81-pecl-zephir_parser-1.8.0-r0.apk              29-Sep-2025 12:34               66863
php81-pecl-zstd-0.15.2-r0.apk                      09-Sep-2025 17:40               16565
php81-pgsql-8.1.34-r0.apk                          17-Dec-2025 02:26               44265
php81-phar-8.1.34-r0.apk                           17-Dec-2025 02:26              120632
php81-phpdbg-8.1.34-r0.apk                         17-Dec-2025 02:26             1830214
php81-posix-8.1.34-r0.apk                          17-Dec-2025 02:26               10582
php81-pspell-8.1.34-r0.apk                         17-Dec-2025 02:26                7976
php81-session-8.1.34-r0.apk                        17-Dec-2025 02:26               35519
php81-shmop-8.1.34-r0.apk                          17-Dec-2025 02:26                5948
php81-simplexml-8.1.34-r0.apk                      17-Dec-2025 02:26               21273
php81-snmp-8.1.34-r0.apk                           17-Dec-2025 02:26               19542
php81-soap-8.1.34-r0.apk                           17-Dec-2025 02:26              130984
php81-sockets-8.1.34-r0.apk                        17-Dec-2025 02:26               34993
php81-sodium-8.1.34-r0.apk                         17-Dec-2025 02:26               26216
php81-sqlite3-8.1.34-r0.apk                        17-Dec-2025 02:26               19617
php81-sysvmsg-8.1.34-r0.apk                        17-Dec-2025 02:26                7335
php81-sysvsem-8.1.34-r0.apk                        17-Dec-2025 02:26                5616
php81-sysvshm-8.1.34-r0.apk                        17-Dec-2025 02:26                6493
php81-tideways_xhprof-5.0.4-r1.apk                 25-Oct-2024 20:07               13231
php81-tidy-8.1.34-r0.apk                           17-Dec-2025 02:26               18165
php81-tokenizer-8.1.34-r0.apk                      17-Dec-2025 02:26               11877
php81-xml-8.1.34-r0.apk                            17-Dec-2025 02:26               18154
php81-xmlreader-8.1.34-r0.apk                      17-Dec-2025 02:26               12610
php81-xmlwriter-8.1.34-r0.apk                      17-Dec-2025 02:26               11621
php81-xsl-8.1.34-r0.apk                            17-Dec-2025 02:26               12617
php81-zip-8.1.34-r0.apk                            17-Dec-2025 02:26               25041
php82-8.2.30-r1.apk                                17-Dec-2025 20:06             1841843
php82-apache2-8.2.30-r1.apk                        17-Dec-2025 20:06             1806928
php82-bcmath-8.2.30-r1.apk                         17-Dec-2025 20:06               14692
php82-bz2-8.2.30-r1.apk                            17-Dec-2025 20:06                9289
php82-calendar-8.2.30-r1.apk                       17-Dec-2025 20:06               13295
php82-cgi-8.2.30-r1.apk                            17-Dec-2025 20:06             1814022
php82-common-8.2.30-r1.apk                         17-Dec-2025 20:06               25868
php82-ctype-8.2.30-r1.apk                          17-Dec-2025 20:06                4655
php82-curl-8.2.30-r1.apk                           17-Dec-2025 20:06               38967
php82-dba-8.2.30-r1.apk                            17-Dec-2025 20:06               21555
php82-dbg-8.2.30-r1.apk                            17-Dec-2025 20:06            44573185
php82-dev-8.2.30-r1.apk                            17-Dec-2025 20:06              991557
php82-doc-8.2.30-r1.apk                            17-Dec-2025 20:06               74920
php82-dom-8.2.30-r1.apk                            17-Dec-2025 20:06               59847
php82-embed-8.2.30-r1.apk                          17-Dec-2025 20:06             1800825
php82-enchant-8.2.30-r1.apk                        17-Dec-2025 20:06                8218
php82-exif-8.2.30-r1.apk                           17-Dec-2025 20:06               31616
php82-ffi-8.2.30-r1.apk                            17-Dec-2025 20:06               67039
php82-fileinfo-8.2.30-r1.apk                       17-Dec-2025 20:06              384760
php82-fpm-8.2.30-r1.apk                            17-Dec-2025 20:06             1884541
php82-ftp-8.2.30-r1.apk                            17-Dec-2025 20:06               22246
php82-gd-8.2.30-r1.apk                             17-Dec-2025 20:06              118414
php82-gettext-8.2.30-r1.apk                        17-Dec-2025 20:06                5770
php82-gmp-8.2.30-r1.apk                            17-Dec-2025 20:06               20590
php82-iconv-8.2.30-r1.apk                          17-Dec-2025 20:06               17299
php82-imap-8.2.30-r1.apk                           17-Dec-2025 20:06               32786
php82-intl-8.2.30-r1.apk                           17-Dec-2025 20:06              151285
php82-ldap-8.2.30-r1.apk                           17-Dec-2025 20:06               31121
php82-litespeed-8.2.30-r1.apk                      17-Dec-2025 20:06             1826765
php82-mbstring-8.2.30-r1.apk                       17-Dec-2025 20:06              637589
php82-mysqli-8.2.30-r1.apk                         17-Dec-2025 20:06               42363
php82-mysqlnd-8.2.30-r1.apk                        17-Dec-2025 20:06               77043
php82-odbc-8.2.30-r1.apk                           17-Dec-2025 20:06               23529
php82-opcache-8.2.30-r1.apk                        17-Dec-2025 20:06               70532
php82-openssl-8.2.30-r1.apk                        17-Dec-2025 20:06               72483
php82-pcntl-8.2.30-r1.apk                          17-Dec-2025 20:06               14331
php82-pdlib-1.1.0-r1.apk                           25-Oct-2024 20:07              501426
php82-pdo-8.2.30-r1.apk                            17-Dec-2025 20:06               41329
php82-pdo_dblib-8.2.30-r1.apk                      17-Dec-2025 20:06               11274
php82-pdo_mysql-8.2.30-r1.apk                      17-Dec-2025 20:06               12578
php82-pdo_odbc-8.2.30-r1.apk                       17-Dec-2025 20:06               12610
php82-pdo_pgsql-8.2.30-r1.apk                      17-Dec-2025 20:06               18059
php82-pdo_sqlite-8.2.30-r1.apk                     17-Dec-2025 20:06               11923
php82-pear-8.2.30-r1.apk                           17-Dec-2025 20:06              346011
php82-pecl-amqp-2.1.2-r0.apk                       24-Oct-2025 02:07               60522
php82-pecl-apcu-5.1.28-r0.apk                      07-Dec-2025 15:54               55130
php82-pecl-apfd-1.0.3-r0.apk                       25-Oct-2024 20:07                4490
php82-pecl-ast-1.1.3-r0.apk                        24-Oct-2025 02:07               21949
php82-pecl-brotli-0.18.3-r0.apk                    01-Dec-2025 02:45               14564
php82-pecl-couchbase-4.3.0-r0.apk                  24-Oct-2025 01:33             4674357
php82-pecl-decimal-1.5.0-r1.apk                    24-Oct-2025 02:07               18087
php82-pecl-ds-1.6.0-r0.apk                         24-Oct-2025 02:07               52541
php82-pecl-event-3.1.4-r0.apk                      24-Oct-2025 02:07               49396
php82-pecl-excimer-1.2.5-r0.apk                    20-May-2025 15:41               20315
php82-pecl-grpc-1.76.0-r0.apk                      24-Oct-2025 19:25             4368589
php82-pecl-igbinary-3.2.17_rc1-r0.apk              27-Nov-2025 21:26               29965
php82-pecl-imagick-3.8.1-r0.apk                    28-Nov-2025 00:07              107604
php82-pecl-imagick-dev-3.8.1-r0.apk                28-Nov-2025 00:07                2354
php82-pecl-immutable_cache-6.1.0-r0.apk            25-Oct-2024 20:07               38617
php82-pecl-jsmin-3.0.0-r0.apk                      25-Oct-2024 20:07               10097
php82-pecl-luasandbox-4.1.2-r0.apk                 24-Oct-2025 02:07               29989
php82-pecl-lzf-1.7.0-r0.apk                        24-Oct-2025 02:07                6982
php82-pecl-mailparse-3.1.9-r0.apk                  24-Oct-2025 02:07               22735
php82-pecl-maxminddb-1.13.0-r0.apk                 21-Nov-2025 00:42                8393
php82-pecl-mcrypt-1.0.9-r0.apk                     24-Oct-2025 02:07               15669
php82-pecl-memcache-8.2-r2.apk                     24-Oct-2025 02:07               43531
php82-pecl-memcached-3.4.0-r0.apk                  24-Oct-2025 02:07               47244
php82-pecl-mongodb-2.1.4-r0.apk                    24-Oct-2025 02:07              857560
php82-pecl-msgpack-3.0.0-r0.apk                    24-Oct-2025 02:07               27652
php82-pecl-oauth-2.0.10-r0.apk                     09-Oct-2025 18:37               36738
php82-pecl-opentelemetry-1.2.1-r0.apk              24-Oct-2025 02:07               12363
php82-pecl-pcov-1.0.12-r0.apk                      24-Oct-2025 02:07                9629
php82-pecl-protobuf-4.32.1-r0.apk                  24-Oct-2025 02:07              145328
php82-pecl-psr-1.2.0-r1.apk                        24-Oct-2025 02:07               17720
php82-pecl-rdkafka-6.0.5-r0.apk                    24-Oct-2025 02:07               36646
php82-pecl-redis-6.3.0-r0.apk                      07-Nov-2025 16:49              188970
php82-pecl-runkit7-4.0.0_alpha6-r1.apk             25-Oct-2024 20:07               29323
php82-pecl-smbclient-1.2.0_pre-r0.apk              24-Oct-2025 02:07               20878
php82-pecl-ssh2-1.4.1-r0.apk                       24-Oct-2025 02:07               28077
php82-pecl-teds-1.3.0-r0.apk                       25-Oct-2024 20:07              113869
php82-pecl-timezonedb-2025.2-r0.apk                24-Oct-2025 02:07              195294
php82-pecl-uploadprogress-2.0.2-r2.apk             24-Oct-2025 02:07                6917
php82-pecl-uploadprogress-doc-2.0.2-r2.apk         24-Oct-2025 02:07                9821
php82-pecl-uuid-1.3.0-r0.apk                       24-Oct-2025 02:07                6635
php82-pecl-vips-1.0.13-r0.apk                      24-Oct-2025 02:07               16507
php82-pecl-vld-0.19.1-r0.apk                       24-Jul-2025 01:27               15232
php82-pecl-xdebug-3.5.0-r0.apk                     04-Dec-2025 22:56              157023
php82-pecl-xhprof-2.3.10-r0.apk                    24-Oct-2025 02:07               12534
php82-pecl-xhprof-assets-2.3.10-r0.apk             24-Oct-2025 02:07              819072
php82-pecl-xlswriter-1.5.8-r0.apk                  24-Oct-2025 02:07              224416
php82-pecl-yaml-2.3.0-r0.apk                       12-Nov-2025 17:55               18649
php82-pecl-zephir_parser-1.8.0-r0.apk              29-Sep-2025 12:34               66882
php82-pecl-zstd-0.15.2-r0.apk                      24-Oct-2025 02:07               16606
php82-pgsql-8.2.30-r1.apk                          17-Dec-2025 20:06               43959
php82-phar-8.2.30-r1.apk                           17-Dec-2025 20:06              120970
php82-phpdbg-8.2.30-r1.apk                         17-Dec-2025 20:06             1880527
php82-posix-8.2.30-r1.apk                          17-Dec-2025 20:06               10573
php82-pspell-8.2.30-r1.apk                         17-Dec-2025 20:06                7947
php82-session-8.2.30-r1.apk                        17-Dec-2025 20:06               36175
php82-shmop-8.2.30-r1.apk                          17-Dec-2025 20:06                5918
php82-simplexml-8.2.30-r1.apk                      17-Dec-2025 20:06               21348
php82-snappy-0.2.3-r0.apk                          09-Apr-2025 18:19                5173
php82-snmp-8.2.30-r1.apk                           17-Dec-2025 20:06               20169
php82-soap-8.2.30-r1.apk                           17-Dec-2025 20:06              132562
php82-sockets-8.2.30-r1.apk                        17-Dec-2025 20:06               35830
php82-sodium-8.2.30-r1.apk                         17-Dec-2025 20:06               27704
php82-sqlite3-8.2.30-r1.apk                        17-Dec-2025 20:06               20791
php82-sysvmsg-8.2.30-r1.apk                        17-Dec-2025 20:06                7627
php82-sysvsem-8.2.30-r1.apk                        17-Dec-2025 20:06                5595
php82-sysvshm-8.2.30-r1.apk                        17-Dec-2025 20:06                6518
php82-tidy-8.2.30-r1.apk                           17-Dec-2025 20:06               18819
php82-tokenizer-8.2.30-r1.apk                      17-Dec-2025 20:06               11839
php82-xml-8.2.30-r1.apk                            17-Dec-2025 20:06               18224
php82-xmlreader-8.2.30-r1.apk                      17-Dec-2025 20:06               13110
php82-xmlwriter-8.2.30-r1.apk                      17-Dec-2025 20:06               11618
php82-xsl-8.2.30-r1.apk                            17-Dec-2025 20:06               12583
php82-zip-8.2.30-r1.apk                            17-Dec-2025 20:06               26998
php83-pecl-apfd-1.0.3-r0.apk                       25-Oct-2024 20:07                4482
php83-pecl-eio-3.1.4-r0.apk                        24-Jul-2025 01:27               28325
php83-pecl-ev-1.2.2-r0.apk                         07-Nov-2025 17:25               38566
php83-pecl-excimer-1.2.5-r0.apk                    20-May-2025 15:41               20336
php83-pecl-jsmin-3.0.0-r0.apk                      25-Oct-2024 20:07               10087
php83-pecl-oauth-2.0.10-r0.apk                     09-Oct-2025 18:37               36788
php83-pecl-phpy-1.0.11-r1.apk                      14-May-2025 21:14               39697
php83-pecl-uv-0.3.0-r0.apk                         25-Oct-2024 20:07               51895
php83-pecl-vld-0.19.1-r0.apk                       24-Jul-2025 01:27               15243
php83-pecl-zmq-1.1.4-r0.apk                        25-Oct-2024 20:07               31161
php84-pecl-csv-0.4.3-r0.apk                        25-Feb-2025 15:45               10154
php84-pecl-ev-1.2.2-r0.apk                         07-Nov-2025 17:25               38593
php84-pecl-mcrypt-1.0.9-r0.apk                     05-Aug-2025 12:42               15644
php84-pecl-oauth-2.0.10-r0.apk                     09-Oct-2025 18:37               36820
php84-pecl-phpy-1.0.11-r1.apk                      14-May-2025 21:14               39711
php84-pecl-runkit7-4.0.0_alpha6-r0.apk             30-Dec-2025 21:16               29811
php84-pecl-solr-2.9.1-r0.apk                       25-Nov-2025 05:10               90715
php84-pecl-uv-0.3.0-r0.apk                         28-Oct-2024 12:47               51954
php84-pecl-vld-0.19.1-r0.apk                       24-Jul-2025 01:27               15531
php84-snappy-0.2.3-r0.apk                          09-Apr-2025 18:19                5169
php85-pecl-ev-1.2.2-r0.apk                         07-Nov-2025 17:25               38589
php85-pecl-luasandbox-4.1.3-r0.apk                 20-Dec-2025 11:45               30364
php85-pecl-oauth-2.0.10-r0.apk                     09-Oct-2025 18:37               35165
php85-pecl-runkit7-4.0.0_alpha6-r0.apk             30-Dec-2025 21:16               29847
php85-pecl-solr-2.9.1-r0.apk                       25-Nov-2025 05:10               90831
php85-pecl-vld-0.19.1-r1.apk                       24-Sep-2025 13:21               15546
php85-snappy-0.2.3-r0.apk                          25-Dec-2025 00:03                5170
phpactor-2025.10.17.0-r0.apk                       19-Nov-2025 03:57             3757138
pick-4.0.0-r0.apk                                  25-Oct-2024 20:07               10116
pick-doc-4.0.0-r0.apk                              25-Oct-2024 20:07                3406
pict-rs-0.5.19-r1.apk                              14-Oct-2025 13:46             7089129
pict-rs-openrc-0.5.19-r1.apk                       14-Oct-2025 13:46                1934
pidif-0.1-r1.apk                                   25-Oct-2024 20:07              169405
piglit-0_git20241106-r1.apk                        14-May-2025 21:14            92375620
pigpio-79-r4.apk                                   25-Oct-2024 20:07              219517
pigpio-dev-79-r4.apk                               25-Oct-2024 20:07               92975
pigpio-doc-79-r4.apk                               25-Oct-2024 20:07              118117
pigpio-openrc-79-r4.apk                            25-Oct-2024 20:07                1662
pihole-6.2.3-r0.apk                                20-Jun-2025 18:05             5832369
pihole-bash-completion-6.2.3-r0.apk                20-Jun-2025 18:05                2236
pihole-doc-6.2.3-r0.apk                            20-Jun-2025 18:05                3841
pihole-openrc-6.2.3-r0.apk                         20-Jun-2025 18:05                1887
pikchr-cmd-1.0.0-r0.apk                            25-Oct-2025 09:13               41746
pikchr-cmd-doc-1.0.0-r0.apk                        25-Oct-2025 09:13                2297
pimd-3.0_git20220201-r0.apk                        25-Oct-2024 20:07               85820
pimd-dense-2.1.0-r0.apk                            25-Oct-2024 20:07               52421
pimd-dense-doc-2.1.0-r0.apk                        25-Oct-2024 20:07               20154
pimd-dense-openrc-2.1.0-r0.apk                     25-Oct-2024 20:07                1896
pimd-doc-3.0_git20220201-r0.apk                    25-Oct-2024 20:07               35592
pimd-openrc-3.0_git20220201-r0.apk                 25-Oct-2024 20:07                1661
pinentry-bemenu-0.14.0-r1.apk                      06-Jul-2025 00:13                8361
piper-phonemize-2023.11.14.4-r9.apk                15-Jul-2025 08:22             9435135
piper-phonemize-dev-2023.11.14.4-r9.apk            15-Jul-2025 08:22              403689
piper-phonemize-libs-2023.11.14.4-r9.apk           15-Jul-2025 08:22               72660
piper-tts-2023.11.14.2-r14.apk                     15-Jul-2025 08:22              178901
piper-tts-dev-2023.11.14.2-r14.apk                 15-Jul-2025 08:22              144409
piping-server-0.18.0-r0.apk                        25-Oct-2024 20:07             1387737
piping-server-openrc-0.18.0-r0.apk                 25-Oct-2024 20:07                1835
pithos-1.6.1-r0.apk                                25-Oct-2024 20:07              106964
pithos-doc-1.6.1-r0.apk                            25-Oct-2024 20:07                2142
pithos-pyc-1.6.1-r0.apk                            25-Oct-2024 20:07              157988
pitivi-2023.03-r2.apk                              22-Dec-2024 22:06             2812927
pitivi-lang-2023.03-r2.apk                         22-Dec-2024 22:06              694550
pitivi-pyc-2023.03-r2.apk                          22-Dec-2024 22:06              716962
pixiewps-1.4.2-r2.apk                              14-May-2025 21:14               51164
pixiewps-doc-1.4.2-r2.apk                          14-May-2025 21:14                3484
plakar-1.0.6-r1.apk                                04-Dec-2025 13:54            19792353
plakar-doc-1.0.6-r1.apk                            04-Dec-2025 13:54                2128
planarity-4.0.1.0-r0.apk                           19-Oct-2025 05:35               23274
planarity-dev-4.0.1.0-r0.apk                       19-Oct-2025 05:35               23663
planarity-doc-4.0.1.0-r0.apk                       19-Oct-2025 05:35               13413
planarity-libs-4.0.1.0-r0.apk                      19-Oct-2025 05:35               64027
planner-0.14.92-r1.apk                             08-Dec-2024 21:40              340454
planner-doc-0.14.92-r1.apk                         08-Dec-2024 21:40                2206
planner-lang-0.14.92-r1.apk                        08-Dec-2024 21:40              844372
please-0.5.6-r0.apk                                29-Aug-2025 08:10             1059996
please-doc-0.5.6-r0.apk                            29-Aug-2025 08:10               16445
plfit-1.0.1-r0.apk                                 04-Jan-2025 03:47               38425
plfit-dev-1.0.1-r0.apk                             04-Jan-2025 03:47                6633
plfit-libs-1.0.1-r0.apk                            04-Jan-2025 03:47               27913
plfit-static-1.0.1-r0.apk                          04-Jan-2025 03:47               31802
plib-1.8.5-r3.apk                                  25-Oct-2024 20:07              891319
plots-0.7.0-r1.apk                                 06-Nov-2024 09:42              528098
plplot-5.15.0-r2.apk                               25-Oct-2024 20:07               32105
plplot-dev-5.15.0-r2.apk                           25-Oct-2024 20:07               60413
plplot-doc-5.15.0-r2.apk                           25-Oct-2024 20:07              318031
plplot-libs-5.15.0-r2.apk                          25-Oct-2024 20:07              194144
pmccabe-2.8-r1.apk                                 25-Oct-2024 20:07               25904
pmccabe-doc-2.8-r1.apk                             25-Oct-2024 20:07                7319
pneink-theme-1.3-r0.apk                            30-Jul-2025 00:20               10083
pneink-theme-doc-1.3-r0.apk                        30-Jul-2025 00:20                1692
pnmixer-0.7.2-r3.apk                               25-Oct-2024 20:07              142585
pnmixer-doc-0.7.2-r3.apk                           25-Oct-2024 20:07                2332
pnmixer-lang-0.7.2-r3.apk                          25-Oct-2024 20:07               25375
pokoy-0.2.5-r0.apk                                 25-Oct-2024 20:07                8928
pokoy-doc-0.2.5-r0.apk                             25-Oct-2024 20:07                3065
policycoreutils-3.6-r1.apk                         25-Oct-2024 20:07               54091
policycoreutils-bash-completion-3.6-r1.apk         25-Oct-2024 20:07                2473
policycoreutils-doc-3.6-r1.apk                     25-Oct-2024 20:07               22854
policycoreutils-lang-3.6-r1.apk                    25-Oct-2024 20:07              107922
pomo-0.8.1-r28.apk                                 04-Dec-2025 13:54             1714942
pomo-doc-0.8.1-r28.apk                             04-Dec-2025 13:54                2801
pongoos-loader-0_git20210704-r1.apk                25-Oct-2024 20:07                2427
pop-cursor-theme-3.5.1-r0.apk                      25-Mar-2025 07:55            13437925
pop-icon-theme-3.5.1-r0.apk                        25-Mar-2025 07:55             1333957
popeye-0.22.1-r9.apk                               04-Dec-2025 13:54            28688011
porla-0.41.0-r2.apk                                24-Feb-2025 14:56             3410485
porla-doc-0.41.0-r2.apk                            24-Feb-2025 14:56                2247
porla-openrc-0.41.0-r2.apk                         24-Feb-2025 14:56                2753
portsmf-239-r2.apk                                 13-Oct-2025 20:02               53529
portsmf-dev-239-r2.apk                             13-Oct-2025 20:02               20479
postgresql-pg_later-0.0.14-r1.apk                  25-Oct-2024 20:07              650262
postgresql-pg_variables-1.2.5_git20230922-r1.apk   03-Nov-2025 16:12               22225
postgresql-pg_variables-bitcode-1.2.5_git202309..> 03-Nov-2025 16:12               47633
postgresql-pgmq-1.1.1-r1.apk                       25-Oct-2024 20:07              279031
postgresql16-wal2json-2.6-r0.apk                   25-Oct-2024 20:07               71175
pounce-3.1-r4.apk                                  13-Sep-2025 01:00               28830
pounce-doc-3.1-r4.apk                              13-Sep-2025 01:00                8746
pounce-openrc-3.1-r4.apk                           13-Sep-2025 01:00                2813
powder-toy-97.0.352-r1.apk                         25-Oct-2024 20:07              834032
powerstat-0.04.01-r0.apk                           25-Oct-2024 20:07               20756
powerstat-bash-completion-0.04.01-r0.apk           25-Oct-2024 20:07                2324
powerstat-doc-0.04.01-r0.apk                       25-Oct-2024 20:07                4339
pptpclient-1.10.0-r6.apk                           30-Jun-2025 07:14               34111
pptpclient-doc-1.10.0-r6.apk                       30-Jun-2025 07:14                7384
pqiv-2.12-r1.apk                                   25-Oct-2024 20:07               64893
pqiv-doc-2.12-r1.apk                               25-Oct-2024 20:07               12314
predict-2.3.1-r0.apk                               23-Nov-2024 18:59               92866
predict-doc-2.3.1-r0.apk                           23-Nov-2024 18:59               16472
primecount-7.20-r0.apk                             25-Nov-2025 19:03               29183
primecount-dev-7.20-r0.apk                         25-Nov-2025 19:03             1967670
primecount-doc-7.20-r0.apk                         25-Nov-2025 19:03                4315
primecount-libs-7.20-r0.apk                        25-Nov-2025 19:03              132981
primesieve-12.10-r0.apk                            25-Nov-2025 19:03               44837
primesieve-dev-12.10-r0.apk                        25-Nov-2025 19:03             1365454
primesieve-doc-12.10-r0.apk                        25-Nov-2025 19:03                4164
primesieve-libs-12.10-r0.apk                       25-Nov-2025 19:03              122456
prjtrellis-1.4-r2.apk                              25-Oct-2024 20:07             1236384
prjtrellis-db-0_git20230929-r0.apk                 25-Oct-2024 20:07                3355
prjtrellis-db-ecp5-0_git20230929-r0.apk            25-Oct-2024 20:07             2236762
prjtrellis-db-machxo-0_git20230929-r0.apk          25-Oct-2024 20:07               40120
prjtrellis-db-machxo2-0_git20230929-r0.apk         25-Oct-2024 20:07             1037660
prjtrellis-db-machxo3-0_git20230929-r0.apk         25-Oct-2024 20:07             1117796
prjtrellis-db-machxo3d-0_git20230929-r0.apk        25-Oct-2024 20:07              765670
projectm-3.1.12-r2.apk                             25-Oct-2024 20:07              433155
projectm-dev-3.1.12-r2.apk                         25-Oct-2024 20:07              678188
projectm-presets-3.1.12-r2.apk                     25-Oct-2024 20:07             4559212
projectm-pulseaudio-3.1.12-r2.apk                  25-Oct-2024 20:07              404312
projectm-pulseaudio-doc-3.1.12-r2.apk              25-Oct-2024 20:07                2051
projectm-sdl-3.1.12-r2.apk                         25-Oct-2024 20:07              315438
projectsandcastle-loader-0_git20200307-r1.apk      25-Oct-2024 20:07                5238
prometheus-fastly-exporter-10.2.0-r0.apk           23-Dec-2025 21:03             4974215
prometheus-opnsense-exporter-0.0.11-r2.apk         04-Dec-2025 13:54             5000720
prometheus-opnsense-exporter-openrc-0.0.11-r2.apk  04-Dec-2025 13:54                2146
prometheus-podman-exporter-1.18.1-r2.apk           04-Dec-2025 13:54            15322926
prometheus-rethinkdb-exporter-1.0.1-r33.apk        04-Dec-2025 13:54             4385694
prometheus-rethinkdb-exporter-openrc-1.0.1-r33.apk 04-Dec-2025 13:54                1705
prometheus-smartctl-exporter-0.14.0-r5.apk         04-Dec-2025 13:54             4867640
prometheus-smartctl-exporter-openrc-0.14.0-r5.apk  04-Dec-2025 13:54                1941
prometheus-smtp2go-exporter-0.1.1-r4.apk           04-Dec-2025 13:54             3605693
prometheus-smtp2go-exporter-openrc-0.1.1-r4.apk    04-Dec-2025 13:54                2023
prometheus-unbound-exporter-0.4.6-r5.apk           14-May-2025 21:14             3805431
prometheus-unbound-exporter-openrc-0.4.6-r5.apk    14-May-2025 21:14                2008
proot-5.4.0-r1.apk                                 25-Oct-2024 20:07               68702
proot-doc-5.4.0-r1.apk                             25-Oct-2024 20:07               10545
proot-static-5.4.0-r1.apk                          25-Oct-2024 20:07              108040
prosody-mod-auth_ldap-0.11_hg20201208-r0.apk       25-Oct-2024 20:07                2882
prosody-mod-auth_pam-0.11_hg20201208-r0.apk        25-Oct-2024 20:07                1852
prosody-mod-auth_sql-0.11_hg20201208-r0.apk        25-Oct-2024 20:07                2702
prosody-mod-block_registrations-0.11_hg20201208..> 25-Oct-2024 20:07                1807
prosody-mod-bookmarks-0.11_hg20201208-r0.apk       25-Oct-2024 20:07                3183
prosody-mod-broadcast-0.11_hg20201208-r0.apk       25-Oct-2024 20:07                1894
prosody-mod-c2s_conn_throttle-0.11_hg20201208-r..> 25-Oct-2024 20:07                2051
prosody-mod-cloud_notify-0.11_hg20201208-r0.apk    25-Oct-2024 20:07                7388
prosody-mod-conversejs-0.11_hg20201208-r0.apk      25-Oct-2024 20:07                3345
prosody-mod-host_guard-0.11_hg20201208-r0.apk      25-Oct-2024 20:07                2898
prosody-mod-http_upload_external-0.11_hg2020120..> 25-Oct-2024 20:07                2961
prosody-mod-ipcheck-0.11_hg20201208-r0.apk         25-Oct-2024 20:07                2001
prosody-mod-log_auth-0.11_hg20201208-r0.apk        25-Oct-2024 20:07                1808
prosody-mod-log_slow_events-0.11_hg20201208-r0.apk 25-Oct-2024 20:07                2237
prosody-mod-mam-0.11_hg20201208-r0.apk             25-Oct-2024 20:07                6024
prosody-mod-mam_muc-0.11_hg20201208-r0.apk         25-Oct-2024 20:07                5686
prosody-mod-muc_cloud_notify-0.11_hg20201208-r0..> 25-Oct-2024 20:07                7026
prosody-mod-pastebin-0.11_hg20201208-r0.apk        25-Oct-2024 20:07                3808
prosody-mod-register_json-0.11_hg20201208-r0.apk   25-Oct-2024 20:07              105989
prosody-mod-register_redirect-0.11_hg20201208-r..> 25-Oct-2024 20:07                2772
prosody-mod-reload_modules-0.11_hg20201208-r0.apk  25-Oct-2024 20:07                2047
prosody-mod-require_otr-0.11_hg20201208-r0.apk     25-Oct-2024 20:07                1752
prosody-mod-s2s_idle_timeout-0.11_hg20201208-r0..> 25-Oct-2024 20:07                2062
prosody-mod-saslname-0.11_hg20201208-r0.apk        25-Oct-2024 20:07                1646
prosody-mod-server_status-0.11_hg20201208-r0.apk   25-Oct-2024 20:07                2841
prosody-mod-smacks-0.11_hg20201208-r0.apk          25-Oct-2024 20:07                8786
prosody-mod-stanza_counter-0.11_hg20201208-r0.apk  25-Oct-2024 20:07                2085
prosody-mod-support_contact-0.11_hg20201208-r0.apk 25-Oct-2024 20:07                2073
prosody-mod-vcard_muc-0.11_hg20201208-r0.apk       25-Oct-2024 20:07                2725
prosody-mod-webpresence-0.11_hg20201208-r0.apk     25-Oct-2024 20:07                2698
prosody-modules-0.11_hg20201208-r0.apk             25-Oct-2024 20:07                1488
protoc-gen-bq-schema-3.1.0-r0.apk                  24-Dec-2025 15:23             1679887
protoc-gen-go-1.36.10-r1.apk                       04-Dec-2025 13:54             2357779
protoc-gen-go-grpc-1.77.0-r0.apk                   22-Dec-2025 15:14             2263905
protoc-gen-gorm-1.1.5-r0.apk                       24-Dec-2025 15:02             2493141
protoconf-0.1.7-r18.apk                            04-Dec-2025 13:54             7824400
psftools-1.1.2-r0.apk                              25-Oct-2024 20:07              202299
psftools-dev-1.1.2-r0.apk                          25-Oct-2024 20:07               43185
psftools-doc-1.1.2-r0.apk                          25-Oct-2024 20:07               61075
psi-notify-1.3.1-r0.apk                            25-Oct-2024 20:07               11827
pspp-2.0.1-r1.apk                                  07-Oct-2025 21:03             9674449
pspp-dbg-2.0.1-r1.apk                              07-Oct-2025 21:03             4512312
pspp-doc-2.0.1-r1.apk                              07-Oct-2025 21:03                9210
psst-0_git20240526-r1.apk                          25-Oct-2024 20:07             7899701
ptouch-print-1.7-r0.apk                            13-Sep-2025 13:07               27270
ptouch-print-doc-1.7-r0.apk                        13-Sep-2025 13:07                3206
ptpd-2.3.1-r1.apk                                  25-Oct-2024 20:07              188793
ptpd-doc-2.3.1-r1.apk                              25-Oct-2024 20:07               20768
ptpd-openrc-2.3.1-r1.apk                           25-Oct-2024 20:07                2447
ptylie-0.2-r2.apk                                  14-May-2025 21:14               11046
ptylie-doc-0.2-r2.apk                              14-May-2025 21:14                3233
pug-0.6.5-r0.apk                                   04-Dec-2025 13:54             3630480
pully-1.0.0-r0.apk                                 25-Oct-2024 20:07                2585
pully-openrc-1.0.0-r0.apk                          25-Oct-2024 20:07                1760
pulsar-client-cpp-3.7.1-r0.apk                     12-Jun-2025 12:08             1290197
pulsar-client-cpp-dev-3.7.1-r0.apk                 12-Jun-2025 12:08               65999
pulseview-0.4.2-r8.apk                             25-Oct-2024 20:07              890755
pulseview-doc-0.4.2-r8.apk                         25-Oct-2024 20:07                3748
punch-0.1.1-r0.apk                                 10-Dec-2025 10:25               30277
punch-pyc-0.1.1-r0.apk                             10-Dec-2025 10:25               31264
pure-1.23.0-r0.apk                                 20-Oct-2025 13:57               18755
pure-doc-1.23.0-r0.apk                             20-Oct-2025 13:57                8102
purple-facebook-0.9.6-r0.apk                       25-Oct-2024 20:07               70994
purple-hangouts-0_git20200422-r0.apk               25-Oct-2024 20:07              198999
pw-volume-0.5.0-r1.apk                             25-Oct-2024 20:07              332293
pwauth-2.3.11-r2.apk                               25-Oct-2024 20:07                4263
pwauth-doc-2.3.11-r2.apk                           25-Oct-2024 20:07                6968
pwndbg-2025.10.20-r0.apk                           31-Dec-2025 14:35              669786
pwndbg-doc-2025.10.20-r0.apk                       31-Dec-2025 14:35                3891
pwndbg-pyc-2025.10.20-r0.apk                       31-Dec-2025 14:35             1288356
pwntools-4.15.0-r0.apk                             31-Dec-2025 14:35             3305369
pwntools-doc-4.15.0-r0.apk                         31-Dec-2025 14:35               38421
pwntools-pyc-4.15.0-r0.apk                         31-Dec-2025 14:35             1761020
pxalarm-3.0.0-r0.apk                               25-Oct-2024 20:07                2951
pxmenu-1.0.0-r1.apk                                25-Oct-2024 20:07                2948
py3-actdiag-3.0.0-r5.apk                           25-Oct-2024 20:07               17686
py3-actdiag-pyc-3.0.0-r5.apk                       25-Oct-2024 20:07               22003
py3-aesedb-0.1.8-r0.apk                            03-Nov-2025 06:49               35305
py3-aesedb-examples-0.1.8-r0.apk                   03-Nov-2025 06:49                3504
py3-aesedb-pyc-0.1.8-r0.apk                        03-Nov-2025 06:49               76756
py3-agithub-2.2.2-r7.apk                           19-Mar-2025 11:44               19297
py3-agithub-pyc-2.2.2-r7.apk                       19-Mar-2025 11:44               21794
py3-aiodocker-0.21.0-r1.apk                        25-Oct-2024 20:07               30020
py3-aiodocker-pyc-0.21.0-r1.apk                    25-Oct-2024 20:07               61834
py3-aiohttp-debugtoolbar-0.6.1-r2.apk              25-Oct-2024 20:07              456617
py3-aiohttp-debugtoolbar-pyc-0.6.1-r2.apk          25-Oct-2024 20:07               52414
py3-aiohttp-jinja2-1.6-r2.apk                      25-Oct-2024 20:07               12681
py3-aiohttp-jinja2-pyc-1.6-r2.apk                  25-Oct-2024 20:07                9451
py3-aiohttp-remotes-1.3.0-r0.apk                   04-Nov-2024 12:28               10265
py3-aiohttp-remotes-pyc-1.3.0-r0.apk               04-Nov-2024 12:28               19311
py3-aiohttp-session-2.12.1-r0.apk                  25-Oct-2024 20:07               10682
py3-aiohttp-session-pyc-2.12.1-r0.apk              25-Oct-2024 20:07               15149
py3-aioopenssl-0.6.0-r4.apk                        25-Oct-2024 20:07               21319
py3-aioopenssl-pyc-0.6.0-r4.apk                    25-Oct-2024 20:07               19593
py3-aiosasl-0.5.0-r4.apk                           25-Oct-2024 20:07               30295
py3-aiosasl-doc-0.5.0-r4.apk                       25-Oct-2024 20:07               16850
py3-aiosasl-pyc-0.5.0-r4.apk                       25-Oct-2024 20:07               24501
py3-aiosmb-0.4.14-r0.apk                           03-Nov-2025 06:49              599479
py3-aiosmb-examples-0.4.14-r0.apk                  03-Nov-2025 06:49               38432
py3-aiosmb-pyc-0.4.14-r0.apk                       03-Nov-2025 06:49             1213115
py3-aiowinreg-0.0.13-r0.apk                        03-Nov-2025 06:49               22237
py3-aiowinreg-pyc-0.0.13-r0.apk                    03-Nov-2025 06:49               45059
py3-aioxmpp-0.13.3-r3.apk                          25-Oct-2024 20:07              396984
py3-aioxmpp-doc-0.13.3-r3.apk                      25-Oct-2024 20:07               18778
py3-aioxmpp-pyc-0.13.3-r3.apk                      25-Oct-2024 20:07              689277
py3-allfiles-1.0-r8.apk                            25-Oct-2024 20:07                3651
py3-allfiles-pyc-1.0-r8.apk                        25-Oct-2024 20:07                3344
py3-altgraph-0.17.4-r1.apk                         25-Oct-2024 20:07               21225
py3-altgraph-pyc-0.17.4-r1.apk                     25-Oct-2024 20:07               29830
py3-ansible-pylibssh-1.2.2-r0.apk                  24-Jul-2025 01:27              248550
py3-anyascii-0.3.2-r1.apk                          25-Oct-2024 20:07              281319
py3-anyascii-pyc-0.3.2-r1.apk                      25-Oct-2024 20:07                3407
py3-apicula-0.11.1-r1.apk                          25-Oct-2024 20:07             8891839
py3-apicula-pyc-0.11.1-r1.apk                      25-Oct-2024 20:07              183787
py3-apio-0.9.5-r0.apk                              25-Oct-2024 20:07               74095
py3-apio-pyc-0.9.5-r0.apk                          25-Oct-2024 20:07               79054
py3-apsw-3.51.1.0-r0.apk                           30-Nov-2025 18:51              951645
py3-apsw-pyc-3.51.1.0-r0.apk                       30-Nov-2025 18:51              600041
py3-apt-3.1.0-r0.apk                               21-Dec-2025 03:05              172366
py3-apt-lang-3.1.0-r0.apk                          21-Dec-2025 03:05               81436
py3-apt-pyc-3.1.0-r0.apk                           21-Dec-2025 03:05              121858
py3-arcus-5.3.0-r5.apk                             12-Jun-2025 12:08               75679
py3-asif-0.3.2-r3.apk                              25-Oct-2024 20:07               13662
py3-asif-pyc-0.3.2-r3.apk                          25-Oct-2024 20:07               26501
py3-ask-0.0.8-r8.apk                               25-Oct-2024 20:07                5121
py3-ask-pyc-0.0.8-r8.apk                           25-Oct-2024 20:07                4583
py3-astral-3.2-r3.apk                              25-Oct-2024 20:07               37914
py3-astral-pyc-3.2-r3.apk                          25-Oct-2024 20:07               60334
py3-asyauth-0.0.23-r0.apk                          03-Nov-2025 06:49               86119
py3-asyauth-pyc-0.0.23-r0.apk                      03-Nov-2025 06:49              190174
py3-asysocks-0.2.18-r0.apk                         03-Nov-2025 06:49               90425
py3-asysocks-examples-0.2.18-r0.apk                03-Nov-2025 06:49               36505
py3-asysocks-pyc-0.2.18-r0.apk                     03-Nov-2025 06:49              327179
py3-avro-1.11.3-r1.apk                             25-Oct-2024 20:07              100014
py3-avro-pyc-1.11.3-r1.apk                         25-Oct-2024 20:07              195950
py3-b2sdk-2.10.2-r0.apk                            16-Dec-2025 18:41              232953
py3-b2sdk-pyc-2.10.2-r0.apk                        16-Dec-2025 18:41              439712
py3-banal-1.0.6-r4.apk                             25-Oct-2024 20:07                7041
py3-banal-pyc-1.0.6-r4.apk                         25-Oct-2024 20:07                7383
py3-bandwidth-sdk-3.1.0-r8.apk                     25-Oct-2024 20:07               47104
py3-bandwidth-sdk-pyc-3.1.0-r8.apk                 25-Oct-2024 20:07               70856
py3-barcodenumber-0.2.1-r10.apk                    25-Oct-2024 20:07               16718
py3-barcodenumber-pyc-0.2.1-r10.apk                25-Oct-2024 20:07                4363
py3-beartype-0.22.9-r0.apk                         15-Dec-2025 18:33             1071990
py3-beartype-pyc-0.22.9-r0.apk                     15-Dec-2025 18:33              762105
py3-bencode-4.0.0-r1.apk                           25-Oct-2024 20:07               17496
py3-bencode-pyc-4.0.0-r1.apk                       25-Oct-2024 20:07               10715
py3-bibtexparser-1.4.3-r0.apk                      25-Jan-2025 07:04               41204
py3-bibtexparser-pyc-1.4.3-r0.apk                  25-Jan-2025 07:04               49954
py3-bidict-0.23.1-r1.apk                           25-Oct-2024 20:07               28382
py3-bidict-pyc-0.23.1-r1.apk                       25-Oct-2024 20:07               29452
py3-bite-parser-0.2.5-r0.apk                       28-Oct-2024 21:51               13967
py3-bite-parser-pyc-0.2.5-r0.apk                   28-Oct-2024 21:51               24056
py3-bitstruct-8.19.0-r1.apk                        25-Oct-2024 20:07               34584
py3-bitstruct-pyc-8.19.0-r1.apk                    25-Oct-2024 20:07               13089
py3-bleak-0.22.3-r0.apk                            25-Oct-2024 20:07              378687
py3-blockchain-1.4.4-r7.apk                        25-Oct-2024 20:07               11233
py3-blockchain-pyc-1.4.4-r7.apk                    25-Oct-2024 20:07               18289
py3-blockdiag-3.0.0-r6.apk                         10-Jan-2025 12:11               69655
py3-blockdiag-pyc-3.0.0-r6.apk                     10-Jan-2025 12:11              152407
py3-blockdiag-tests-3.0.0-r6.apk                   10-Jan-2025 12:11             2627090
py3-bookkeeper-4.17.2-r0.apk                       15-Jul-2025 08:22               44039
py3-bookkeeper-pyc-4.17.2-r0.apk                   15-Jul-2025 08:22               68288
py3-bottle-api-0.0.4-r7.apk                        25-Oct-2024 20:07                4989
py3-bottle-api-pyc-0.0.4-r7.apk                    25-Oct-2024 20:07                5338
py3-bottle-pgsql-0.2-r5.apk                        25-Oct-2024 20:07                4369
py3-bottle-redis-0.2.3-r6.apk                      25-Oct-2024 20:07                3382
py3-bottle-redis-pyc-0.2.3-r6.apk                  25-Oct-2024 20:07                3201
py3-bottle-renderer-0.1.1-r9.apk                   25-Oct-2024 20:07                4078
py3-bottle-renderer-pyc-0.1.1-r9.apk               25-Oct-2024 20:07                3828
py3-bottle-request-0.2.0-r9.apk                    25-Oct-2024 20:07                3289
py3-bottle-request-pyc-0.2.0-r9.apk                25-Oct-2024 20:07                2661
py3-bottle-rest-0.6.0-r1.apk                       25-Oct-2024 20:07                6257
py3-bottle-rest-pyc-0.6.0-r1.apk                   25-Oct-2024 20:07                5299
py3-bottle-session-1.0-r6.apk                      25-Oct-2024 20:07               10440
py3-bottle-session-pyc-1.0-r6.apk                  25-Oct-2024 20:07                7984
py3-bottle-sqlalchemy-0.4.3-r8.apk                 25-Oct-2024 20:07                4964
py3-bottle-sqlalchemy-pyc-0.4.3-r8.apk             25-Oct-2024 20:07                5768
py3-bottle-sqlite-0.2.0-r7.apk                     25-Oct-2024 20:07                4811
py3-bottle-sqlite-pyc-0.2.0-r7.apk                 25-Oct-2024 20:07                5364
py3-bottle-websocket-0.2.9-r8.apk                  25-Oct-2024 20:07                4749
py3-bottle-websocket-pyc-0.2.9-r8.apk              25-Oct-2024 20:07                3211
py3-bottle-werkzeug-0.1.1-r9.apk                   25-Oct-2024 20:07                4191
py3-bottle-werkzeug-pyc-0.1.1-r9.apk               25-Oct-2024 20:07                4356
py3-bson-0.5.10-r6.apk                             25-Oct-2024 20:07               11973
py3-bson-pyc-0.5.10-r6.apk                         25-Oct-2024 20:07               18949
py3-businesstime-0.3.0-r9.apk                      25-Oct-2024 20:07               10888
py3-businesstime-pyc-0.3.0-r9.apk                  25-Oct-2024 20:07               16732
py3-c3d-0.5.2-r1.apk                               25-Oct-2024 20:07               32841
py3-c3d-pyc-0.5.2-r1.apk                           25-Oct-2024 20:07               54990
py3-caldav-2.2.3-r0.apk                            07-Dec-2025 19:13              115444
py3-caldav-pyc-2.2.3-r0.apk                        07-Dec-2025 19:13              157625
py3-cassandra-driver-3.29.2-r0.apk                 25-Oct-2024 20:07              292701
py3-cassandra-driver-pyc-3.29.2-r0.apk             25-Oct-2024 20:07              573391
py3-catkin-pkg-0.5.2-r4.apk                        25-Oct-2024 20:07               58523
py3-catkin-pkg-pyc-0.5.2-r4.apk                    25-Oct-2024 20:07              105096
py3-cchardet-2.1.7-r5.apk                          25-Oct-2024 20:07              124198
py3-cchardet-pyc-2.1.7-r5.apk                      25-Oct-2024 20:07                3127
py3-cdio-2.1.1-r6.apk                              25-Jan-2025 07:04              100895
py3-cdio-pyc-2.1.1-r6.apk                          25-Jan-2025 07:04               44183
py3-certauth-1.3.0-r1.apk                          25-Oct-2024 20:07                8915
py3-certauth-pyc-1.3.0-r1.apk                      25-Oct-2024 20:07                9308
py3-chameleon-4.6.0-r0.apk                         06-Jul-2025 09:16               99469
py3-chameleon-pyc-4.6.0-r0.apk                     06-Jul-2025 09:16              133766
py3-ciso8601-2.3.3-r0.apk                          10-Nov-2025 17:32               16941
py3-cjkwrap-2.2-r6.apk                             14-May-2025 21:14                4623
py3-cjkwrap-pyc-2.2-r6.apk                         14-May-2025 21:14                5376
py3-class-doc-1.25-r1.apk                          25-Oct-2024 20:07                6203
py3-class-doc-pyc-1.25-r1.apk                      25-Oct-2024 20:07                8919
py3-click-completion-0.5.2-r1.apk                  25-Oct-2024 20:07               11051
py3-click-completion-pyc-0.5.2-r1.apk              25-Oct-2024 20:07               14586
py3-click-default-group-1.2.4-r1.apk               25-Oct-2024 20:07                5272
py3-click-default-group-pyc-1.2.4-r1.apk           25-Oct-2024 20:07                4634
py3-click-threading-0.5.0-r5.apk                   25-Oct-2024 20:07                6499
py3-click-threading-pyc-0.5.0-r5.apk               25-Oct-2024 20:07                8044
py3-clickclick-20.10.2-r4.apk                      25-Oct-2024 20:07                8140
py3-clickclick-pyc-20.10.2-r4.apk                  25-Oct-2024 20:07               10011
py3-cmd2-2.4.3-r2.apk                              25-Oct-2024 20:07              142763
py3-cmd2-pyc-2.4.3-r2.apk                          25-Oct-2024 20:07              227955
py3-cobs-1.2.0-r4.apk                              25-Oct-2024 20:07               16668
py3-cobs-pyc-1.2.0-r4.apk                          25-Oct-2024 20:07               12753
py3-colander-2.0-r2.apk                            25-Oct-2024 20:07               63968
py3-colander-pyc-2.0-r2.apk                        25-Oct-2024 20:07               43500
py3-colorthief-0.2.1-r1.apk                        25-Oct-2024 20:07                7490
py3-colorthief-pyc-0.2.1-r1.apk                    25-Oct-2024 20:07               10291
py3-columnize-0.3.11-r4.apk                        25-Oct-2024 20:07                8729
py3-columnize-pyc-0.3.11-r4.apk                    25-Oct-2024 20:07                7659
py3-compdb-0.2.0-r8.apk                            25-Oct-2024 20:07               23865
py3-compdb-doc-0.2.0-r8.apk                        25-Oct-2024 20:07                3116
py3-compdb-pyc-0.2.0-r8.apk                        25-Oct-2024 20:07               40577
py3-confusable-homoglyphs-3.3.1-r0.apk             26-Sep-2025 00:43              140433
py3-confusable-homoglyphs-pyc-3.3.1-r0.apk         26-Sep-2025 00:43                9587
py3-cookiecutter-2.6.0-r1.apk                      25-Oct-2024 20:07               36219
py3-cookiecutter-doc-2.6.0-r1.apk                  25-Oct-2024 20:07                3814
py3-cookiecutter-pyc-2.6.0-r1.apk                  25-Oct-2024 20:07               48636
py3-coreapi-2.3.3-r9.apk                           25-Oct-2024 20:07               22762
py3-coreapi-pyc-2.3.3-r9.apk                       25-Oct-2024 20:07               44307
py3-crc16-0.1.1-r10.apk                            25-Oct-2024 20:07               11883
py3-crc16-pyc-0.1.1-r10.apk                        25-Oct-2024 20:07                4821
py3-createrepo_c-1.1.4-r1.apk                      30-Dec-2025 20:57               41431
py3-createrepo_c-pyc-1.1.4-r1.apk                  30-Dec-2025 20:57               14894
py3-croniter-6.0.0-r0.apk                          26-Aug-2025 12:09               26424
py3-croniter-pyc-6.0.0-r0.apk                      26-Aug-2025 12:09               26197
py3-cryptg-0.5.0-r0.apk                            14-May-2025 21:14              198706
py3-cryptg-pyc-0.5.0-r0.apk                        14-May-2025 21:14                1953
py3-cssutils-2.11.1-r1.apk                         25-Oct-2024 20:07              158925
py3-cssutils-pyc-2.11.1-r1.apk                     25-Oct-2024 20:07              285334
py3-cstruct-6.1-r0.apk                             21-Aug-2025 23:07               23729
py3-cstruct-pyc-6.1-r0.apk                         21-Aug-2025 23:07               38534
py3-cucumber-tag-expressions-6.1.1-r0.apk          01-Dec-2024 20:13                8874
py3-cucumber-tag-expressions-pyc-6.1.1-r0.apk      01-Dec-2024 20:13               10673
py3-cvxpy-1.2.1-r5.apk                             25-Oct-2024 20:07              660285
py3-cvxpy-pyc-1.2.1-r5.apk                         25-Oct-2024 20:07              958546
py3-cython-test-exception-raiser-25.11.0-r0.apk    11-Nov-2025 19:23               17867
py3-cython-test-exception-raiser-pyc-25.11.0-r0..> 11-Nov-2025 19:23                1880
py3-dash-bootstrap-components-1.6.0-r0.apk         13-Apr-2025 10:18               16884
py3-dataclasses-json-0.6.7-r0.apk                  25-Oct-2024 20:07               28066
py3-dataclasses-json-pyc-0.6.7-r0.apk              25-Oct-2024 20:07               36511
py3-dataclasses-serialization-1.3.1-r3.apk         25-Oct-2024 20:07               11220
py3-dataclasses-serialization-pyc-1.3.1-r3.apk     25-Oct-2024 20:07               14614
py3-dateparser-1.2.2-r0.apk                        06-Jul-2025 19:46              221411
py3-dateparser-pyc-1.2.2-r0.apk                    06-Jul-2025 19:46              343238
py3-daterangestr-0.0.3-r8.apk                      25-Oct-2024 20:07                4454
py3-daterangestr-pyc-0.0.3-r8.apk                  25-Oct-2024 20:07                4322
py3-dbus-fast-3.1.2-r0.apk                         23-Nov-2025 21:15              783440
py3-dbus-fast-doc-3.1.2-r0.apk                     23-Nov-2025 21:15                6393
py3-dbus-fast-pyc-3.1.2-r0.apk                     23-Nov-2025 21:15              133311
py3-deluge-client-1.10.2-r0.apk                    25-Oct-2024 20:07               13201
py3-deluge-client-doc-1.10.2-r0.apk                25-Oct-2024 20:07                2306
py3-deluge-client-pyc-1.10.2-r0.apk                25-Oct-2024 20:07               20122
py3-dexml-0.5.1-r9.apk                             25-Oct-2024 20:07               22743
py3-dexml-pyc-0.5.1-r9.apk                         25-Oct-2024 20:07               38389
py3-discid-1.3.0-r0.apk                            28-Jul-2025 21:30               12835
py3-discid-pyc-1.3.0-r0.apk                        28-Jul-2025 21:30               13787
py3-distorm3-3.5.2-r6.apk                          25-Oct-2024 20:07               48542
py3-distorm3-pyc-3.5.2-r6.apk                      25-Oct-2024 20:07               49822
py3-django-compress-staticfiles-1.0.1_beta0-r6.apk 25-Oct-2024 20:07               15003
py3-django-compress-staticfiles-pyc-1.0.1_beta0..> 25-Oct-2024 20:07               15265
py3-django-suit-0.2.28-r8.apk                      25-Oct-2024 20:07              374544
py3-django-suit-pyc-0.2.28-r8.apk                  25-Oct-2024 20:07               33280
py3-django-taggit-serializer-0.1.7-r8.apk          25-Oct-2024 20:07                4120
py3-django-taggit-serializer-pyc-0.1.7-r8.apk      25-Oct-2024 20:07                5078
py3-dnslib-0.9.26-r0.apk                           01-Sep-2025 18:15               57747
py3-dnslib-pyc-0.9.26-r0.apk                       01-Sep-2025 18:15              111691
py3-dogpile.cache-1.3.3-r1.apk                     14-May-2025 21:14               53839
py3-dogpile.cache-pyc-1.3.3-r1.apk                 14-May-2025 21:14               92651
py3-doi-0.2-r0.apk                                 12-Apr-2025 12:09                6308
py3-doi-pyc-0.2-r0.apk                             12-Apr-2025 12:09                4858
py3-doit-0.36.0-r5.apk                             25-Oct-2024 20:07               78340
py3-doit-pyc-0.36.0-r5.apk                         25-Oct-2024 20:07              136447
py3-dominate-2.9.1-r1.apk                          25-Oct-2024 20:07               25343
py3-dominate-pyc-2.9.1-r1.apk                      25-Oct-2024 20:07               34540
py3-dotty-dict-1.3.1-r4.apk                        25-Oct-2024 20:07                8601
py3-dotty-dict-pyc-1.3.1-r4.apk                    25-Oct-2024 20:07                8890
py3-downloader-cli-0.3.4-r2.apk                    14-May-2025 21:14               11442
py3-downloader-cli-pyc-0.3.4-r2.apk                14-May-2025 21:14               14462
py3-dpath-2.2.0-r0.apk                             25-Oct-2024 20:07               17436
py3-dpath-pyc-2.2.0-r0.apk                         25-Oct-2024 20:07               18194
py3-drf-yasg-1.21.10-r0.apk                        15-Jul-2025 08:46             4246936
py3-drf-yasg-pyc-1.21.10-r0.apk                    15-Jul-2025 08:46              100579
py3-dunamai-1.25.0-r0.apk                          25-Jul-2025 22:23               27501
py3-dunamai-pyc-1.25.0-r0.apk                      25-Jul-2025 22:23               44900
py3-duniterpy-1.1.1-r3.apk                         25-Oct-2024 20:07              226632
py3-dweepy-0.3.0-r7.apk                            25-Oct-2024 20:07                9302
py3-dweepy-pyc-0.3.0-r7.apk                        25-Oct-2024 20:07                6407
py3-ecbdata-0.1.1-r0.apk                           13-Apr-2025 23:28               13795
py3-ecos-2.0.11-r4.apk                             25-Oct-2024 20:07               27960
py3-ecos-pyc-2.0.11-r4.apk                         25-Oct-2024 20:07                3720
py3-edalize-0.5.4-r0.apk                           25-Oct-2024 20:07              125542
py3-edalize-pyc-0.5.4-r0.apk                       25-Oct-2024 20:07              194738
py3-editdistance-s-1.0.0-r6.apk                    25-Oct-2024 20:07               15384
py3-editdistance-s-pyc-1.0.0-r6.apk                25-Oct-2024 20:07                2075
py3-empy-3.3.4-r7.apk                              25-Oct-2024 20:07               40265
py3-empy-pyc-3.3.4-r7.apk                          25-Oct-2024 20:07               59896
py3-engineio-4.12.3-r0.apk                         27-Nov-2025 09:35               49880
py3-engineio-doc-4.12.3-r0.apk                     27-Nov-2025 09:35               34237
py3-engineio-pyc-4.12.3-r0.apk                     27-Nov-2025 09:35              106718
py3-enlighten-1.14.1-r0.apk                        28-Aug-2025 09:31               37786
py3-enlighten-pyc-1.14.1-r0.apk                    28-Aug-2025 09:31               47518
py3-enzyme-0.5.2-r0.apk                            15-Jul-2025 08:22               23268
py3-enzyme-pyc-0.5.2-r0.apk                        15-Jul-2025 08:22               19253
py3-eradicate-2.3.0-r2.apk                         25-Oct-2024 20:07                7751
py3-eradicate-doc-2.3.0-r2.apk                     25-Oct-2024 20:07                2578
py3-eradicate-pyc-2.3.0-r2.apk                     25-Oct-2024 20:07                8549
py3-euclid3-0.01-r8.apk                            25-Oct-2024 20:07               14510
py3-euclid3-pyc-0.01-r8.apk                        25-Oct-2024 20:07               33337
py3-evalidate-2.1.3-r0.apk                         16-Dec-2025 14:54               13949
py3-evalidate-pyc-2.1.3-r0.apk                     16-Dec-2025 14:54               12445
py3-eventlet-0.38.1-r0.apk                         11-Dec-2024 21:35              340184
py3-eventlet-pyc-0.38.1-r0.apk                     11-Dec-2024 21:35              343696
py3-evohome-client-0.3.9-r0.apk                    15-Jul-2025 08:22               19280
py3-evohome-client-pyc-0.3.9-r0.apk                15-Jul-2025 08:22               27960
py3-fastdiff-0.3.0-r5.apk                          25-Oct-2024 20:07               39358
py3-fastdiff-pyc-0.3.0-r5.apk                      25-Oct-2024 20:07                4302
py3-feedgen-1.0.0-r1.apk                           25-Oct-2024 20:07               41195
py3-feedgen-pyc-1.0.0-r1.apk                       25-Oct-2024 20:07               63169
py3-feedgenerator-2.1.0-r2.apk                     25-Oct-2024 20:07               18455
py3-feedgenerator-pyc-2.1.0-r2.apk                 25-Oct-2024 20:07               27393
py3-ffmpeg-0.2.0-r5.apk                            14-May-2025 21:14               24196
py3-ffmpeg-pyc-0.2.0-r5.apk                        14-May-2025 21:14               33390
py3-firmata-1.0.3-r10.apk                          25-Oct-2024 20:07               14525
py3-firmata-pyc-1.0.3-r10.apk                      25-Oct-2024 20:07               21376
py3-flake8-blind-except-0.2.1-r4.apk               25-Oct-2024 20:07                5324
py3-flake8-blind-except-pyc-0.2.1-r4.apk           25-Oct-2024 20:07                2654
py3-flake8-builtins-2.5.0-r0.apk                   07-Dec-2024 21:51               13039
py3-flake8-builtins-pyc-2.5.0-r0.apk               07-Dec-2024 21:51                8063
py3-flake8-copyright-0.2.4-r3.apk                  25-Oct-2024 20:07               18683
py3-flake8-copyright-pyc-0.2.4-r3.apk              25-Oct-2024 20:07                3413
py3-flake8-debugger-4.1.2-r4.apk                   25-Oct-2024 20:07                6387
py3-flake8-debugger-pyc-4.1.2-r4.apk               25-Oct-2024 20:07                6107
py3-flake8-import-order-0.18.2-r4.apk              25-Oct-2024 20:07               15777
py3-flake8-import-order-pyc-0.18.2-r4.apk          25-Oct-2024 20:07               17177
py3-flake8-isort-7.0.0-r0.apk                      25-Oct-2025 20:12               18414
py3-flake8-isort-pyc-7.0.0-r0.apk                  25-Oct-2025 20:12                5490
py3-flake8-polyfill-1.0.2-r5.apk                   25-Oct-2024 20:07                7243
py3-flake8-polyfill-pyc-1.0.2-r5.apk               25-Oct-2024 20:07                5830
py3-flake8-print-5.0.0-r5.apk                      25-Oct-2024 20:07                6883
py3-flake8-print-pyc-5.0.0-r5.apk                  25-Oct-2024 20:07                4515
py3-flake8-snippets-0.2-r8.apk                     25-Oct-2024 20:07                5449
py3-flake8-snippets-pyc-0.2-r8.apk                 25-Oct-2024 20:07                3759
py3-flake8-todo-0.7-r7.apk                         25-Oct-2024 20:07                3680
py3-flake8-todo-pyc-0.7-r7.apk                     25-Oct-2024 20:07                2287
py3-flask-accept-0.0.7-r0.apk                      15-Jul-2025 08:22                5710
py3-flask-accept-pyc-0.0.7-r0.apk                  15-Jul-2025 08:22                3765
py3-flask-admin-1.6.1-r3.apk                       25-Oct-2024 20:07             6838817
py3-flask-admin-pyc-1.6.1-r3.apk                   25-Oct-2024 20:07              366892
py3-flask-autorouter-0.2.2-r3.apk                  25-Oct-2024 20:07                5206
py3-flask-autorouter-pyc-0.2.2-r3.apk              25-Oct-2024 20:07                5067
py3-flask-basicauth-0.2.0-r9.apk                   25-Oct-2024 20:07                5467
py3-flask-basicauth-pyc-0.2.0-r9.apk               25-Oct-2024 20:07                4172
py3-flask-bcrypt-1.0.1-r5.apk                      25-Oct-2024 20:07                7314
py3-flask-bcrypt-pyc-1.0.1-r5.apk                  25-Oct-2024 20:07                5940
py3-flask-bootstrap-3.3.7.1-r9.apk                 14-May-2025 21:14              459394
py3-flask-bootstrap-pyc-3.3.7.1-r9.apk             14-May-2025 21:14               11133
py3-flask-cache-0.13.1-r9.apk                      25-Oct-2024 20:07               13079
py3-flask-cache-pyc-0.13.1-r9.apk                  25-Oct-2024 20:07               18720
py3-flask-cdn-1.5.3-r8.apk                         25-Oct-2024 20:07                4872
py3-flask-cdn-pyc-1.5.3-r8.apk                     25-Oct-2024 20:07                4180
py3-flask-components-0.1.1-r9.apk                  25-Oct-2024 20:07                4020
py3-flask-components-pyc-0.1.1-r9.apk              25-Oct-2024 20:07                3383
py3-flask-dbconfig-0.3.12-r8.apk                   25-Oct-2024 20:07               87742
py3-flask-dbconfig-pyc-0.3.12-r8.apk               25-Oct-2024 20:07                6405
py3-flask-flatpages-0.8.3-r0.apk                   06-Dec-2024 22:57               10955
py3-flask-flatpages-pyc-0.8.3-r0.apk               06-Dec-2024 22:57               13981
py3-flask-gzip-0.2-r8.apk                          25-Oct-2024 20:07                3258
py3-flask-gzip-pyc-0.2-r8.apk                      25-Oct-2024 20:07                2893
py3-flask-headers-1.0-r9.apk                       25-Oct-2024 20:07                3280
py3-flask-headers-pyc-1.0-r9.apk                   25-Oct-2024 20:07                2513
py3-flask-httpauth-4.8.0-r3.apk                    29-Nov-2025 11:38                8026
py3-flask-httpauth-pyc-4.8.0-r3.apk                29-Nov-2025 11:38               10848
py3-flask-json-schema-0.0.5-r4.apk                 25-Oct-2024 20:07                4195
py3-flask-json-schema-pyc-0.0.5-r4.apk             25-Oct-2024 20:07                3522
py3-flask-limiter-3.10.1-r0.apk                    25-Jan-2025 07:04               27243
py3-flask-limiter-pyc-3.10.1-r0.apk                25-Jan-2025 07:04               48105
py3-flask-loopback-1.4.7-r7.apk                    25-Oct-2024 20:07                5704
py3-flask-loopback-pyc-1.4.7-r7.apk                25-Oct-2024 20:07                8112
py3-flask-mailman-1.1.1-r0.apk                     25-Oct-2024 20:07               16863
py3-flask-mailman-pyc-1.1.1-r0.apk                 25-Oct-2024 20:07               26356
py3-flask-markdown-0.3-r8.apk                      25-Oct-2024 20:07                5749
py3-flask-markdown-pyc-0.3-r8.apk                  25-Oct-2024 20:07                3952
py3-flask-migrate-4.1.0-r0.apk                     15-Jul-2025 08:22               13629
py3-flask-migrate-pyc-4.1.0-r0.apk                 15-Jul-2025 08:22               18854
py3-flask-paginate-0.8.1-r6.apk                    25-Oct-2024 20:07                8411
py3-flask-paginate-pyc-0.8.1-r6.apk                25-Oct-2024 20:07               11461
py3-flask-peewee-3.0.6-r0.apk                      25-Oct-2024 20:07              176171
py3-flask-peewee-pyc-3.0.6-r0.apk                  25-Oct-2024 20:07               97765
py3-flask-qrcode-3.2.0-r0.apk                      12-Dec-2024 06:32               18463
py3-flask-qrcode-pyc-3.2.0-r0.apk                  12-Dec-2024 06:32                6299
py3-flask-restaction-0.25.3-r8.apk                 25-Oct-2024 20:07              117603
py3-flask-restaction-pyc-0.25.3-r8.apk             25-Oct-2024 20:07               20287
py3-flask-restless-0.17.0-r9.apk                   25-Oct-2024 20:07               41452
py3-flask-restless-pyc-0.17.0-r9.apk               25-Oct-2024 20:07               60480
py3-flask-security-5.6.2-r0.apk                    10-Nov-2025 03:16              303867
py3-flask-security-pyc-5.6.2-r0.apk                10-Nov-2025 03:16              232670
py3-flask-socketio-5.5.1-r0.apk                    05-Sep-2025 23:29               18784
py3-flask-socketio-doc-5.5.1-r0.apk                05-Sep-2025 23:29               23574
py3-flask-socketio-pyc-5.5.1-r0.apk                05-Sep-2025 23:29               26568
py3-flask-themer-2.0.0-r2.apk                      25-Oct-2024 20:07                8083
py3-flask-themer-pyc-2.0.0-r2.apk                  25-Oct-2024 20:07                7140
py3-forbiddenfruit-0.1.4-r2.apk                    25-Oct-2024 20:07                9178
py3-forbiddenfruit-pyc-0.1.4-r2.apk                25-Oct-2024 20:07                9890
py3-fpdf-1.7.2-r5.apk                              25-Oct-2024 20:07               40669
py3-fpdf-pyc-1.7.2-r5.apk                          25-Oct-2024 20:07               91317
py3-freetype-py-2.5.1-r0.apk                       25-Oct-2024 20:07              164806
py3-furl-2.1.4-r0.apk                              15-Jul-2025 08:22               28188
py3-furl-pyc-2.1.4-r0.apk                          15-Jul-2025 08:22               33265
py3-gdcm-3.2.2-r3.apk                              02-Dec-2025 04:28              707237
py3-geoip-1.3.2-r4.apk                             25-Oct-2024 20:07               22436
py3-gevent-websocket-0.10.1-r8.apk                 25-Oct-2024 20:07               20213
py3-gevent-websocket-pyc-0.10.1-r8.apk             25-Oct-2024 20:07               31091
py3-git-versioner-7.1-r1.apk                       25-Oct-2024 20:07               12251
py3-git-versioner-pyc-7.1-r1.apk                   25-Oct-2024 20:07               13838
py3-github3-4.0.1-r1.apk                           25-Oct-2024 20:07              131343
py3-github3-pyc-4.0.1-r1.apk                       25-Oct-2024 20:07              232423
py3-glob2-0.7-r6.apk                               25-Oct-2024 20:07               10512
py3-glob2-pyc-0.7-r6.apk                           25-Oct-2024 20:07               13219
py3-gls-1.3.1-r1.apk                               25-Oct-2024 20:07               47892
py3-gls-pyc-1.3.1-r1.apk                           25-Oct-2024 20:07               86152
py3-google-trans-new-1.1.9-r2.apk                  25-Oct-2024 20:07                9453
py3-google-trans-new-pyc-1.1.9-r2.apk              25-Oct-2024 20:07               10834
py3-googletrans-3.0.0-r5.apk                       14-May-2025 21:14               15565
py3-googletrans-pyc-3.0.0-r5.apk                   14-May-2025 21:14               17684
py3-grequests-0.7.0-r3.apk                         14-May-2025 21:14                6884
py3-grequests-pyc-0.7.0-r3.apk                     14-May-2025 21:14                5984
py3-gtkspellcheck-5.0.3-r1.apk                     08-Aug-2025 23:40               45858
py3-gtkspellcheck-pyc-5.0.3-r1.apk                 08-Aug-2025 23:40               30329
py3-halo-0.0.31-r5.apk                             25-Oct-2024 20:07               11738
py3-halo-pyc-0.0.31-r5.apk                         25-Oct-2024 20:07               14254
py3-hatch-openzim-0.2.0-r0.apk                     25-Oct-2024 20:07               25098
py3-hatch-openzim-bootstrap-0.1.0-r0.apk           25-Oct-2024 20:07               24760
py3-hatch-openzim-bootstrap-pyc-0.1.0-r0.apk       25-Oct-2024 20:07               12992
py3-hatch-openzim-pyc-0.2.0-r0.apk                 25-Oct-2024 20:07               13305
py3-helper-2.5.0-r5.apk                            25-Oct-2024 20:07               19222
py3-helper-pyc-2.5.0-r5.apk                        25-Oct-2024 20:07               28850
py3-hfst-3.16.2-r0.apk                             28-Mar-2025 16:15              364400
py3-hg-git-1.1.1-r1.apk                            25-Oct-2024 20:07               71779
py3-hg-git-pyc-1.1.1-r1.apk                        25-Oct-2024 20:07              109354
py3-himitsu-0.0.9-r0.apk                           26-Aug-2025 12:09                5949
py3-himitsu-pyc-0.0.9-r0.apk                       26-Aug-2025 12:09                7723
py3-hishel-0.1.4-r0.apk                            15-Oct-2025 12:50               78694
py3-hishel-pyc-0.1.4-r0.apk                        15-Oct-2025 12:50              146994
py3-html5-parser-0.4.12-r1.apk                     25-Oct-2024 20:07              166368
py3-html5-parser-pyc-0.4.12-r1.apk                 25-Oct-2024 20:07               22807
py3-hurry.filesize-0.9-r8.apk                      25-Oct-2024 20:07                4722
py3-hurry.filesize-pyc-0.9-r8.apk                  25-Oct-2024 20:07                3334
py3-icalendar-searcher-1.0.3-r0.apk                07-Dec-2025 19:13               35794
py3-icalendar-searcher-pyc-1.0.3-r0.apk            07-Dec-2025 19:13               26426
py3-igraph-1.0.0-r0.apk                            27-Oct-2025 22:18              420247
py3-igraph-dev-1.0.0-r0.apk                        27-Oct-2025 22:18                2666
py3-igraph-pyc-1.0.0-r0.apk                        27-Oct-2025 22:18              383379
py3-imageio-2.37.0-r0.apk                          15-Jul-2025 08:22              292330
py3-imageio-ffmpeg-0.4.9-r1.apk                    25-Oct-2024 20:07               16885
py3-imageio-ffmpeg-pyc-0.4.9-r1.apk                25-Oct-2024 20:07               20751
py3-imageio-pyc-2.37.0-r0.apk                      15-Jul-2025 08:22              515895
py3-imdbpy-2021.4.18-r5.apk                        25-Oct-2024 20:07              234496
py3-imdbpy-pyc-2021.4.18-r5.apk                    25-Oct-2024 20:07              248404
py3-incoming-0.3.1-r8.apk                          25-Oct-2024 20:07               13135
py3-incoming-pyc-0.3.1-r8.apk                      25-Oct-2024 20:07               20426
py3-infinity-1.5-r6.apk                            25-Oct-2024 20:07                4484
py3-infinity-pyc-1.5-r6.apk                        25-Oct-2024 20:07                3826
py3-iniparse-0.5-r7.apk                            25-Oct-2024 20:07               19137
py3-iniparse-doc-0.5-r7.apk                        25-Oct-2024 20:07               10591
py3-iniparse-pyc-0.5-r7.apk                        25-Oct-2024 20:07               25213
py3-intervals-0.9.2-r5.apk                         25-Oct-2024 20:07                9673
py3-intervals-pyc-0.9.2-r5.apk                     25-Oct-2024 20:07               15527
py3-ioctl-opt-1.3-r0.apk                           27-Jan-2025 21:37               11904
py3-ioctl-opt-pyc-1.3-r0.apk                       27-Jan-2025 21:37                4761
py3-irc-20.4.1-r1.apk                              07-Oct-2025 21:03               41039
py3-irc-pyc-20.4.1-r1.apk                          07-Oct-2025 21:03               71963
py3-isbnlib-3.10.14-r0.apk                         25-Jan-2025 07:04               43688
py3-isbnlib-pyc-3.10.14-r0.apk                     25-Jan-2025 07:04               68285
py3-iso639-lang-2.6.3-r0.apk                       04-Dec-2025 13:54              318367
py3-iso639-lang-pyc-2.6.3-r0.apk                   04-Dec-2025 13:54               12528
py3-itemadapter-0.10.0-r0.apk                      30-Nov-2024 20:42               11519
py3-itemadapter-pyc-0.10.0-r0.apk                  30-Nov-2024 20:42               12974
py3-itemloaders-1.3.2-r1.apk                       08-Aug-2025 23:40               12599
py3-itemloaders-pyc-1.3.2-r1.apk                   08-Aug-2025 23:40               16911
py3-iterable-io-1.0.0-r0.apk                       25-Oct-2024 20:07                6183
py3-iterable-io-pyc-1.0.0-r0.apk                   25-Oct-2024 20:07                5378
py3-itunespy-1.6-r5.apk                            14-May-2025 21:14                9974
py3-itunespy-pyc-1.6-r5.apk                        14-May-2025 21:14               14947
py3-janus-1.2.0-r0.apk                             13-Dec-2024 04:57               12653
py3-janus-pyc-1.2.0-r0.apk                         13-Dec-2024 04:57               13656
py3-jaraco.logging-3.4.0-r0.apk                    17-Jun-2025 22:13                5443
py3-jaraco.logging-pyc-3.4.0-r0.apk                17-Jun-2025 22:13                6261
py3-jaraco.path-3.7.2-r0.apk                       25-Oct-2024 20:07                7806
py3-jaraco.path-pyc-3.7.2-r0.apk                   25-Oct-2024 20:07                9752
py3-jaraco.stream-3.0.4-r0.apk                     14-Dec-2024 22:49                6875
py3-jaraco.stream-pyc-3.0.4-r0.apk                 14-Dec-2024 22:49                8273
py3-jaraco.vcs-2.4.1-r0.apk                        09-Mar-2025 09:04               10435
py3-jaraco.vcs-pyc-2.4.1-r0.apk                    09-Mar-2025 09:04               16337
py3-jaraco.versioning-1.1.0-r0.apk                 25-Oct-2024 20:07                6054
py3-jaraco.versioning-pyc-1.1.0-r0.apk             25-Oct-2024 20:07                6249
py3-joserfc-1.5.0-r0.apk                           04-Dec-2025 13:54               55371
py3-joserfc-pyc-1.5.0-r0.apk                       04-Dec-2025 13:54              112987
py3-junit-xml-1.9-r3.apk                           25-Oct-2024 20:07                8541
py3-junit-xml-pyc-1.9-r3.apk                       25-Oct-2024 20:07                9539
py3-kazoo-0_git20211202-r4.apk                     25-Oct-2024 20:07              128028
py3-kazoo-pyc-0_git20211202-r4.apk                 25-Oct-2024 20:07              250372
py3-keepalive-0.5-r5.apk                           25-Oct-2024 20:07                9185
py3-keepalive-doc-0.5-r5.apk                       25-Oct-2024 20:07                2035
py3-keepalive-pyc-0.5-r5.apk                       25-Oct-2024 20:07               13359
py3-kerberos-1.3.1-r5.apk                          25-Oct-2024 20:07               17048
py3-knowit-0.5.11-r0.apk                           23-Nov-2025 23:18               32434
py3-knowit-pyc-0.5.11-r0.apk                       23-Nov-2025 23:18               62982
py3-landlock-1.0.0_pre4-r2.apk                     25-Oct-2024 20:07                8609
py3-landlock-pyc-1.0.0_pre4-r2.apk                 25-Oct-2024 20:07                9750
py3-langcodes-3.3.0-r2.apk                         25-Oct-2024 20:07              177703
py3-langcodes-pyc-3.3.0-r2.apk                     25-Oct-2024 20:07              112362
py3-language-data-1.3.0-r0.apk                     01-Dec-2024 20:08             5191895
py3-language-data-pyc-1.3.0-r0.apk                 01-Dec-2024 20:08             3105406
py3-latex2mathml-3.78.1-r1.apk                     29-Sep-2025 18:48               73776
py3-latex2mathml-pyc-3.78.1-r1.apk                 29-Sep-2025 18:48               35644
py3-lib_users-0.15-r4.apk                          25-Oct-2024 20:07               15995
py3-lib_users-pyc-0.15-r4.apk                      25-Oct-2024 20:07                9737
py3-libacl-0.7.3-r0.apk                            16-Oct-2025 22:04               25789
py3-libcec-rpi-6.0.2-r4.apk                        25-Oct-2024 20:07              107501
py3-libguestfs-1.56.1-r0.apk                       24-Jul-2025 01:27              182028
py3-libiio-0.25-r2.apk                             25-Oct-2024 20:07               12875
py3-liblarch-3.2.0-r6.apk                          08-Dec-2024 21:40               30221
py3-liblarch-pyc-3.2.0-r6.apk                      08-Dec-2024 21:40               50796
py3-libmdbx-0.10.2-r7.apk                          25-Oct-2024 20:07               28560
py3-libmdbx-pyc-0.10.2-r7.apk                      25-Oct-2024 20:07               33603
py3-libnacl-2.1.0-r1.apk                           25-Oct-2024 20:07               20801
py3-libnacl-pyc-2.1.0-r1.apk                       25-Oct-2024 20:07               31075
py3-libpyshell-0.4.1-r1.apk                        10-Jun-2025 09:39               11793
py3-libpyshell-pyc-0.4.1-r1.apk                    10-Jun-2025 09:39               18737
py3-librtmp-0.3.0-r6.apk                           25-Oct-2024 20:07               34097
py3-librtmp-pyc-0.3.0-r6.apk                       25-Oct-2024 20:07               25160
py3-limits-3.14.1-r0.apk                           25-Dec-2024 18:53               34302
py3-limits-pyc-3.14.1-r0.apk                       25-Dec-2024 18:53               73153
py3-linkify-it-py-2.0.3-r1.apk                     25-Oct-2024 20:07               21842
py3-linkify-it-py-pyc-2.0.3-r1.apk                 25-Oct-2024 20:07               23985
py3-litex-hub-modules-2024.04-r0.apk               25-Oct-2024 20:07                1661
py3-litex-hub-modules-pyc-2024.04-r0.apk           25-Oct-2024 20:07             1161225
py3-litex-hub-pythondata-cpu-blackparrot-2024.0..> 25-Oct-2024 20:07             5823454
py3-litex-hub-pythondata-cpu-cv32e40p-2024.04-r..> 25-Oct-2024 20:07             2024147
py3-litex-hub-pythondata-cpu-cv32e41p-2024.04-r..> 25-Oct-2024 20:07              956550
py3-litex-hub-pythondata-cpu-cva5-2024.04-r0.apk   25-Oct-2024 20:07              512021
py3-litex-hub-pythondata-cpu-cva6-2024.04-r0.apk   25-Oct-2024 20:07            10642022
py3-litex-hub-pythondata-cpu-ibex-2024.04-r0.apk   25-Oct-2024 20:07             1890457
py3-litex-hub-pythondata-cpu-lm32-2024.04-r0.apk   25-Oct-2024 20:07              114798
py3-litex-hub-pythondata-cpu-marocchino-2024.04..> 25-Oct-2024 20:07              212850
py3-litex-hub-pythondata-cpu-microwatt-2024.04-..> 25-Oct-2024 20:07            20402081
py3-litex-hub-pythondata-cpu-minerva-2024.04-r0..> 25-Oct-2024 20:07               45902
py3-litex-hub-pythondata-cpu-mor1kx-2024.04-r0.apk 25-Oct-2024 20:07              226714
py3-litex-hub-pythondata-cpu-naxriscv-2024.04-r..> 25-Oct-2024 20:07                7739
py3-litex-hub-pythondata-cpu-picorv32-2024.04-r..> 25-Oct-2024 20:07              235821
py3-litex-hub-pythondata-cpu-rocket-2024.04-r0.apk 25-Oct-2024 20:07            59549452
py3-litex-hub-pythondata-cpu-serv-2024.04-r0.apk   25-Oct-2024 20:07              691415
py3-litex-hub-pythondata-cpu-vexriscv-2024.04-r..> 25-Oct-2024 20:07              729739
py3-litex-hub-pythondata-cpu-vexriscv_smp-2024...> 25-Oct-2024 20:07             2521219
py3-litex-hub-pythondata-misc-tapcfg-2024.04-r0..> 25-Oct-2024 20:07               59339
py3-litex-hub-pythondata-software-compiler_rt-2..> 25-Oct-2024 20:07             2308336
py3-litex-hub-pythondata-software-picolibc-2024..> 25-Oct-2024 20:07             4914190
py3-litex-hub-valentyusb-2024.04-r0.apk            25-Oct-2024 20:07              114195
py3-livestream-2.1.0-r0.apk                        25-Nov-2024 22:22              784629
py3-livestream-pyc-2.1.0-r0.apk                    25-Nov-2024 22:22               30607
py3-log-symbols-0.0.14-r5.apk                      25-Oct-2024 20:07                4307
py3-log-symbols-pyc-0.0.14-r5.apk                  25-Oct-2024 20:07                3142
py3-logtop-0.7-r1.apk                              08-Aug-2025 23:40               20854
py3-logtop-pyc-0.7-r1.apk                          08-Aug-2025 23:40                4175
py3-lsp-mypy-0.7.0-r1.apk                          30-Aug-2025 01:39               13123
py3-lsp-mypy-pyc-0.7.0-r1.apk                      30-Aug-2025 01:39               13063
py3-lsprotocol-2023.0.1-r1.apk                     25-Oct-2024 20:07               71162
py3-lsprotocol-pyc-2023.0.1-r1.apk                 25-Oct-2024 20:07              109685
py3-luhn-0.2.0-r9.apk                              25-Oct-2024 20:07                4072
py3-luhn-pyc-0.2.0-r9.apk                          25-Oct-2024 20:07                2691
py3-lunr-0.6.2-r4.apk                              25-Oct-2024 20:07               33265
py3-lunr-pyc-0.6.2-r4.apk                          25-Oct-2024 20:07               51837
py3-lxmf-0.8.0-r0.apk                              23-Sep-2025 19:39               52008
py3-lxmf-pyc-0.8.0-r0.apk                          23-Sep-2025 19:39              112011
py3-ly-0.9.9-r0.apk                                15-Jul-2025 08:22              191077
py3-ly-doc-0.9.9-r0.apk                            15-Jul-2025 08:22                8259
py3-ly-pyc-0.9.9-r0.apk                            15-Jul-2025 08:22              360442
py3-lzo-1.16-r1.apk                                25-Oct-2024 20:07               16812
py3-lzo-pyc-1.16-r1.apk                            25-Oct-2024 20:07                2014
py3-m2crypto-0.41.0-r2.apk                         25-Oct-2024 20:07              196061
py3-m2crypto-pyc-0.41.0-r2.apk                     25-Oct-2024 20:07              125548
py3-maidenhead-1.8.0-r0.apk                        10-Aug-2025 21:05                8134
py3-maidenhead-doc-1.8.0-r0.apk                    10-Aug-2025 21:05                3773
py3-maidenhead-pyc-1.8.0-r0.apk                    10-Aug-2025 21:05                8144
py3-mando-0.8.2-r0.apk                             18-Dec-2025 11:02               22075
py3-mando-doc-0.8.2-r0.apk                         18-Dec-2025 11:02                4268
py3-mando-pyc-0.8.2-r0.apk                         18-Dec-2025 11:02               36182
py3-manuel-1.13.0-r1.apk                           14-Oct-2025 15:04               40304
py3-manuel-pyc-1.13.0-r1.apk                       14-Oct-2025 15:04               26276
py3-mapbox-earcut-1.0.1-r2.apk                     25-Oct-2024 20:07               59127
py3-marisa-trie-1.3.1-r0.apk                       29-Aug-2025 09:31              138633
py3-markdown2-2.5.0-r0.apk                         25-Oct-2024 20:07               48352
py3-markdown2-pyc-2.5.0-r0.apk                     25-Oct-2024 20:07               77300
py3-markdownify-1.2.2-r0.apk                       27-Nov-2025 16:00               16561
py3-markdownify-pyc-1.2.2-r0.apk                   27-Nov-2025 16:00               18677
py3-marshmallow-3.26.1-r0.apk                      21-Feb-2025 22:37               48688
py3-marshmallow-enum-1.5.1-r7.apk                  25-Oct-2024 20:07                5421
py3-marshmallow-enum-pyc-1.5.1-r7.apk              25-Oct-2024 20:07                4558
py3-marshmallow-pyc-3.26.1-r0.apk                  21-Feb-2025 22:37               86937
py3-mbedtls-2.10.1-r3.apk                          29-May-2025 11:57              988008
py3-mbedtls-pyc-2.10.1-r3.apk                      29-May-2025 11:57               27652
py3-meshtastic-2.7.2-r0.apk                        16-Sep-2025 13:46              552783
py3-migen-0.9.2-r2.apk                             25-Oct-2024 20:07              146037
py3-migen-pyc-0.9.2-r2.apk                         25-Oct-2024 20:07              302742
py3-milc-1.9.1-r0.apk                              25-Jan-2025 16:04               26617
py3-milc-pyc-1.9.1-r0.apk                          25-Jan-2025 16:04               42496
py3-minidb-2.0.8-r0.apk                            13-Nov-2024 18:52               10294
py3-minidb-pyc-2.0.8-r0.apk                        13-Nov-2024 18:52               23989
py3-minidump-0.0.24-r1.apk                         29-May-2025 11:57               65050
py3-minidump-pyc-0.0.24-r1.apk                     29-May-2025 11:57              132196
py3-minikerberos-0.4.9-r0.apk                      03-Nov-2025 06:49              126163
py3-minikerberos-examples-0.4.9-r0.apk             03-Nov-2025 06:49               17780
py3-minikerberos-pyc-0.4.9-r0.apk                  03-Nov-2025 06:49              294184
py3-minio-7.2.18-r0.apk                            10-Nov-2025 03:17               76661
py3-minio-pyc-7.2.18-r0.apk                        10-Nov-2025 03:17              158799
py3-mistletoe-1.4.0-r0.apk                         19-Mar-2025 11:44               45631
py3-mistletoe-pyc-1.4.0-r0.apk                     19-Mar-2025 11:44               95235
py3-mistune1-0.8.4-r6.apk                          09-Nov-2025 18:52               14769
py3-mistune1-pyc-0.8.4-r6.apk                      09-Nov-2025 18:52               21987
py3-mnemonic-0.21-r0.apk                           25-Oct-2024 20:07               97326
py3-mnemonic-doc-0.21-r0.apk                       25-Oct-2024 20:07                2458
py3-mnemonic-pyc-0.21-r0.apk                       25-Oct-2024 20:07                9921
py3-modbus-tk-1.1.1-r4.apk                         25-Oct-2024 20:07               25365
py3-modbus-tk-pyc-1.1.1-r4.apk                     25-Oct-2024 20:07               49649
py3-modern_colorthief-0.1.7-r0.apk                 16-Jun-2025 18:03              848405
py3-modern_colorthief-pyc-0.1.7-r0.apk             16-Jun-2025 18:03                2667
py3-mopidy-jellyfin-1.0.4-r4.apk                   25-Oct-2024 20:07               25129
py3-mopidy-jellyfin-pyc-1.0.4-r4.apk               25-Oct-2024 20:07               37525
py3-mopidy-local-3.3.0-r0.apk                      01-Jan-2025 22:26               28437
py3-mopidy-local-pyc-3.3.0-r0.apk                  01-Jan-2025 22:26               34914
py3-mopidy-mpd-3.3.0-r4.apk                        25-Oct-2024 20:07               47114
py3-mopidy-mpd-pyc-3.3.0-r4.apk                    25-Oct-2024 20:07               75589
py3-mopidy-tidal-0.3.2-r6.apk                      25-Oct-2024 20:07               25346
py3-mopidy-tidal-pyc-0.3.2-r6.apk                  25-Oct-2024 20:07               36489
py3-more-properties-1.1.1-r3.apk                   25-Oct-2024 20:07                7613
py3-more-properties-pyc-1.1.1-r3.apk               25-Oct-2024 20:07                8421
py3-moviepy-1.0.3-r6.apk                           25-Oct-2024 20:07               96761
py3-moviepy-pyc-1.0.3-r6.apk                       25-Oct-2024 20:07              159262
py3-msldap-0.5.15-r2.apk                           17-Oct-2025 14:40              129672
py3-msldap-examples-0.5.15-r2.apk                  17-Oct-2025 14:40               18806
py3-msldap-pyc-0.5.15-r2.apk                       17-Oct-2025 14:40              337691
py3-mss-10.0.0-r0.apk                              14-Nov-2024 13:10               51803
py3-natpmp-1.3.2-r1.apk                            25-Oct-2024 20:07                9636
py3-natpmp-pyc-1.3.2-r1.apk                        25-Oct-2024 20:07               10094
py3-ncclient-0.6.13-r5.apk                         25-Oct-2024 20:07               69850
py3-ncclient-pyc-0.6.13-r5.apk                     25-Oct-2024 20:07              109076
py3-netifaces2-0.0.22-r0.apk                       25-Oct-2024 20:07              205320
py3-netifaces2-pyc-0.0.22-r0.apk                   25-Oct-2024 20:07                9430
py3-netmiko-4.6.0-r0.apk                           13-Aug-2025 15:31              196527
py3-netmiko-pyc-4.6.0-r0.apk                       13-Aug-2025 15:31              381181
py3-nmap-0.7.1-r4.apk                              25-Oct-2024 20:07               20840
py3-nmap-pyc-0.7.1-r4.apk                          25-Oct-2024 20:07               26092
py3-nose-timer-1.0.1-r6.apk                        25-Oct-2024 20:07                9597
py3-nose-timer-pyc-1.0.1-r6.apk                    25-Oct-2024 20:07               10151
py3-notifymail-1.1-r8.apk                          25-Oct-2024 20:07                7763
py3-notifymail-pyc-1.1-r8.apk                      25-Oct-2024 20:07                5877
py3-nptyping-2.5.0-r3.apk                          25-Oct-2024 20:07               21854
py3-nptyping-pyc-2.5.0-r3.apk                      25-Oct-2024 20:07               32801
py3-ntplib-0.4.0-r5.apk                            25-Oct-2024 20:07                7576
py3-ntplib-pyc-0.4.0-r5.apk                        25-Oct-2024 20:07                8832
py3-numpy-stl-3.2.0-r0.apk                         01-Dec-2024 02:04               21514
py3-numpy-stl-pyc-3.2.0-r0.apk                     01-Dec-2024 02:04               28542
py3-nwdiag-3.0.0-r3.apk                            25-Oct-2024 20:07             5155509
py3-nwdiag-pyc-3.0.0-r3.apk                        25-Oct-2024 20:07               79745
py3-okonomiyaki-2.0.0-r1.apk                       14-Oct-2025 18:13             8270720
py3-okonomiyaki-pyc-2.0.0-r1.apk                   14-Oct-2025 18:13              248920
py3-openapi-codec-1.3.2-r9.apk                     25-Oct-2024 20:07                7831
py3-openapi-codec-pyc-1.3.2-r9.apk                 25-Oct-2024 20:07               12017
py3-opendht-3.1.11-r0.apk                          27-Jan-2025 20:56              158517
py3-openssh-wrapper-0.5_git20130425-r4.apk         25-Oct-2024 20:07                8441
py3-openssh-wrapper-pyc-0.5_git20130425-r4.apk     25-Oct-2024 20:07               10674
py3-openwisp-utils-1.0.4-r4.apk                    25-Oct-2024 20:07              509582
py3-openwisp-utils-pyc-1.0.4-r4.apk                25-Oct-2024 20:07               43278
py3-orderedmultidict-1.0.1-r7.apk                  25-Oct-2024 20:07               12156
py3-orderedmultidict-pyc-1.0.1-r7.apk              25-Oct-2024 20:07               17616
py3-ovos-audio-plugin-simple-0.0.1-r0.apk          25-Oct-2024 20:07               10206
py3-ovos-audio-plugin-simple-pyc-0.0.1-r0.apk      25-Oct-2024 20:07                8419
py3-ovos-backend-client-1.0.0-r0.apk               25-Oct-2024 20:07               47018
py3-ovos-backend-client-pyc-1.0.0-r0.apk           25-Oct-2024 20:07               93235
py3-ovos-bus-client-1.3.4-r0.apk                   29-Apr-2025 19:46               51156
py3-ovos-bus-client-pyc-1.3.4-r0.apk               29-Apr-2025 19:46               91142
py3-ovos-classifiers-0.0.0_alpha53-r0.apk          25-Oct-2024 20:07              105445
py3-ovos-classifiers-pyc-0.0.0_alpha53-r0.apk      25-Oct-2024 20:07              167448
py3-ovos-config-2.1.1-r0.apk                       15-Jul-2025 18:37               48354
py3-ovos-config-pyc-2.1.1-r0.apk                   15-Jul-2025 18:37               35629
py3-ovos-lingua-franca-0.4.8_alpha3-r2.apk         25-Oct-2024 20:07              366364
py3-ovos-lingua-franca-pyc-0.4.8_alpha3-r2.apk     25-Oct-2024 20:07              447178
py3-ovos-microphone-plugin-alsa-0.1.2-r0.apk       15-Jul-2025 20:03                9086
py3-ovos-microphone-plugin-alsa-pyc-0.1.2-r0.apk   15-Jul-2025 20:03                4879
py3-ovos-ocp-audio-plugin-0.0.6_alpha2-r3.apk      25-Oct-2024 20:07              563431
py3-ovos-ocp-audio-plugin-pyc-0.0.6_alpha2-r3.apk  25-Oct-2024 20:07               88105
py3-ovos-ocp-files-plugin-0.13.1-r0.apk            25-Oct-2024 20:07               48094
py3-ovos-ocp-files-plugin-pyc-0.13.1-r0.apk        25-Oct-2024 20:07              106056
py3-ovos-ocp-m3u-plugin-0.0.2-r0.apk               25-Oct-2024 20:07                8267
py3-ovos-ocp-m3u-plugin-pyc-0.0.2-r0.apk           25-Oct-2024 20:07                3480
py3-ovos-ocp-news-plugin-0.1.1-r0.apk              15-Jul-2025 20:03               11356
py3-ovos-ocp-news-plugin-pyc-0.1.1-r0.apk          15-Jul-2025 20:03                9094
py3-ovos-ocp-rss-plugin-0.1.1-r0.apk               15-Jul-2025 20:03                8363
py3-ovos-ocp-rss-plugin-pyc-0.1.1-r0.apk           15-Jul-2025 20:03                3981
py3-ovos-phal-plugin-connectivity-events-0.1.2-..> 15-Jul-2025 20:03                9019
py3-ovos-phal-plugin-connectivity-events-pyc-0...> 15-Jul-2025 20:03                5193
py3-ovos-phal-plugin-ipgeo-0.0.2-r1.apk            25-Oct-2024 20:07                4633
py3-ovos-phal-plugin-ipgeo-pyc-0.0.2-r1.apk        25-Oct-2024 20:07                4474
py3-ovos-phal-plugin-network-manager-1.3.2-r0.apk  21-Nov-2024 13:31               97389
py3-ovos-phal-plugin-network-manager-pyc-1.3.2-..> 21-Nov-2024 13:31               11494
py3-ovos-phal-plugin-oauth-0.1.3-r0.apk            21-Nov-2024 13:31               12203
py3-ovos-phal-plugin-oauth-pyc-0.1.3-r0.apk        21-Nov-2024 13:31                9892
py3-ovos-phal-plugin-system-1.3.3-r0.apk           15-Jul-2025 17:20               11216
py3-ovos-phal-plugin-system-pyc-1.3.3-r0.apk       15-Jul-2025 17:20               10300
py3-ovos-plugin-manager-1.0.3-r0.apk               15-Jul-2025 19:34               88532
py3-ovos-plugin-manager-pyc-1.0.3-r0.apk           15-Jul-2025 19:34              170841
py3-ovos-stt-plugin-server-0.0.4_alpha4-r1.apk     25-Oct-2024 20:07               10327
py3-ovos-stt-plugin-server-pyc-0.0.4_alpha4-r1.apk 25-Oct-2024 20:07                6930
py3-ovos-translate-server-plugin-0.0.0-r0.apk      25-Oct-2024 20:07                8534
py3-ovos-translate-server-plugin-pyc-0.0.0-r0.apk  25-Oct-2024 20:07                4205
py3-ovos-tts-server-plugin-0.0.2_alpha13-r1.apk    25-Oct-2024 20:07                9741
py3-ovos-tts-server-plugin-pyc-0.0.2_alpha13-r1..> 25-Oct-2024 20:07                5574
py3-ovos-utils-0.8.1-r0.apk                        15-Jul-2025 20:03               74312
py3-ovos-utils-pyc-0.8.1-r0.apk                    15-Jul-2025 20:03              133432
py3-ovos-workshop-7.0.6-r0.apk                     15-Jul-2025 19:29               94399
py3-ovos-workshop-pyc-7.0.6-r0.apk                 15-Jul-2025 19:29              169444
py3-owslib-0.35.0-r0.apk                           02-Nov-2025 19:15              199975
py3-owslib-pyc-0.35.0-r0.apk                       02-Nov-2025 19:15              435069
py3-pacparser-1.4.5-r1.apk                         25-Oct-2024 20:07              400778
py3-pacparser-pyc-1.4.5-r1.apk                     25-Oct-2024 20:07                4134
py3-padacioso-0.2.1-r0.apk                         25-Oct-2024 20:07               11722
py3-padacioso-pyc-0.2.1-r0.apk                     25-Oct-2024 20:07               12570
py3-pam-2.0.2-r2.apk                               25-Oct-2024 20:07               11459
py3-pam-pyc-2.0.2-r2.apk                           25-Oct-2024 20:07               13273
py3-pathvalidate-3.3.1-r0.apk                      15-Jul-2025 08:22               19549
py3-pathvalidate-pyc-3.3.1-r0.apk                  15-Jul-2025 08:22               34399
py3-pbkdf2-1.3-r7.apk                              25-Oct-2024 20:07                6446
py3-pbkdf2-pyc-1.3-r7.apk                          25-Oct-2024 20:07                7244
py3-pdal-3.4.5-r1.apk                              21-Jun-2025 21:24              169203
py3-pdal-pyc-3.4.5-r1.apk                          21-Jun-2025 21:24               13404
py3-pdoc-15.0.4-r0.apk                             12-Oct-2025 21:19              135954
py3-pdoc-pyc-15.0.4-r0.apk                         12-Oct-2025 21:19              173023
py3-pelican-4.9.1-r2.apk                           25-Oct-2024 20:07              239326
py3-pelican-pyc-4.9.1-r2.apk                       25-Oct-2024 20:07              150835
py3-pep8-naming-0.14.1-r0.apk                      25-Oct-2024 20:07               10005
py3-pep8-naming-pyc-0.14.1-r0.apk                  25-Oct-2024 20:07               13512
py3-phpserialize-1.3-r8.apk                        25-Oct-2024 20:07                9064
py3-phpserialize-pyc-1.3-r8.apk                    25-Oct-2024 20:07               10953
py3-phx-class-registry-5.0.0-r0.apk                25-Oct-2024 20:07               13458
py3-phx-class-registry-doc-5.0.0-r0.apk            25-Oct-2024 20:07                2277
py3-phx-class-registry-pyc-5.0.0-r0.apk            25-Oct-2024 20:07               17825
py3-piccata-2.0.3-r1.apk                           25-Oct-2024 20:07               20561
py3-piccata-pyc-2.0.3-r1.apk                       25-Oct-2024 20:07               35040
py3-pickle-secure-0.99.9-r1.apk                    25-Oct-2024 20:07                7678
py3-pickle-secure-pyc-0.99.9-r1.apk                25-Oct-2024 20:07                5485
py3-pigpio-79-r4.apk                               25-Oct-2024 20:07               95381
py3-pillow_heif-0.18.0-r0.apk                      25-Oct-2024 20:07               41708
py3-pillow_heif-pyc-0.18.0-r0.apk                  25-Oct-2024 20:07               36389
py3-pip-system-certs-4.0-r1.apk                    25-Oct-2024 20:07                7154
py3-pip-system-certs-pyc-4.0-r1.apk                25-Oct-2024 20:07                4765
py3-piper-phonemize-2023.11.14.4-r9.apk            15-Jul-2025 08:22              143200
py3-piper-phonemize-pyc-2023.11.14.4-r9.apk        15-Jul-2025 08:22                3429
py3-playsound-1.3.0-r1.apk                         25-Oct-2024 20:07                7025
py3-playsound-pyc-1.3.0-r1.apk                     25-Oct-2024 20:07                8747
py3-plotly-5.24.1-r2.apk                           18-Apr-2025 22:10            21485087
py3-pltable-1.1.0-r1.apk                           13-Nov-2024 06:28               19024
py3-pltable-pyc-1.1.0-r1.apk                       13-Nov-2024 06:28               34279
py3-pockethernet-0.7.0-r4.apk                      25-Oct-2024 20:07               15138
py3-pockethernet-pyc-0.7.0-r4.apk                  25-Oct-2024 20:07               25810
py3-poetry-dynamic-versioning-1.9.1-r0.apk         25-Jul-2025 23:41               21091
py3-poetry-dynamic-versioning-pyc-1.9.1-r0.apk     25-Jul-2025 23:41               27023
py3-poppler-qt5-21.3.0-r2.apk                      29-Jan-2025 19:00              120340
py3-ppk2-api-0.9.2-r0.apk                          30-Nov-2024 20:52               16880
py3-ppk2-api-pyc-0.9.2-r0.apk                      30-Nov-2024 20:52               16910
py3-pprintpp-0.4.0-r1.apk                          25-Oct-2024 20:07               14238
py3-pprintpp-pyc-0.4.0-r1.apk                      25-Oct-2024 20:07               16666
py3-prctl-1.8.1-r0.apk                             20-Oct-2025 13:57               13244
py3-prctl-pyc-1.8.1-r0.apk                         20-Oct-2025 13:57                7409
py3-prefixed-0.9.0-r0.apk                          28-Aug-2025 09:31               14702
py3-prefixed-pyc-0.9.0-r0.apk                      28-Aug-2025 09:31                8813
py3-print-color-0.4.6-r0.apk                       25-Oct-2024 20:07                9073
py3-print-color-doc-0.4.6-r0.apk                   25-Oct-2024 20:07                2681
py3-print-color-pyc-0.4.6-r0.apk                   25-Oct-2024 20:07                5090
py3-proglog-0.1.10-r2.apk                          25-Oct-2024 20:07                7556
py3-proglog-pyc-0.1.10-r2.apk                      25-Oct-2024 20:07               10153
py3-protego-0.3.1-r0.apk                           30-Nov-2024 20:18                9563
py3-protego-pyc-0.3.1-r0.apk                       30-Nov-2024 20:18               11835
py3-proxmoxer-2.2.0-r0.apk                         16-Dec-2024 11:36               17258
py3-proxmoxer-pyc-2.2.0-r0.apk                     16-Dec-2024 11:36               28397
py3-pure_protobuf-3.1.2-r0.apk                     25-Oct-2024 20:07               21626
py3-pure_protobuf-pyc-3.1.2-r0.apk                 25-Oct-2024 20:07               38585
py3-py-radix-0.10.0-r11.apk                        30-Dec-2025 21:01               20886
py3-py-radix-pyc-0.10.0-r11.apk                    30-Dec-2025 21:01               10881
py3-pyatem-0.5.0-r4.apk                            25-Oct-2024 20:07               53267
py3-pyatem-pyc-0.5.0-r4.apk                        25-Oct-2024 20:07               93817
py3-pyautogui-0.9.53-r5.apk                        25-Oct-2024 20:07               35909
py3-pyautogui-pyc-0.9.53-r5.apk                    25-Oct-2024 20:07               46360
py3-pybars3-0.9.7-r6.apk                           25-Oct-2024 20:07               15200
py3-pybars3-pyc-0.9.7-r6.apk                       25-Oct-2024 20:07               17239
py3-pycaption-2.2.15-r0.apk                        25-Oct-2024 20:07              368715
py3-pycolorterm-0.2.1-r6.apk                       25-Oct-2024 20:07                5588
py3-pycolorterm-pyc-0.2.1-r6.apk                   25-Oct-2024 20:07                3816
py3-pycosat-0.6.6-r2.apk                           25-Oct-2024 20:07               45567
py3-pydes-2.0.1-r5.apk                             25-Oct-2024 20:07               10937
py3-pydes-doc-2.0.1-r5.apk                         25-Oct-2024 20:07                3732
py3-pydes-pyc-2.0.1-r5.apk                         25-Oct-2024 20:07               13489
py3-pygelbooru-1.0.0-r0.apk                        30-Jul-2025 04:28               20935
py3-pygelbooru-pyc-1.0.0-r0.apk                    30-Jul-2025 04:28               11774
py3-pygfm-2.0.0-r2.apk                             25-Oct-2024 20:07               13033
py3-pygfm-pyc-2.0.0-r2.apk                         25-Oct-2024 20:07               13521
py3-pyglet-2.1.5-r1.apk                            27-Aug-2025 14:45              911268
py3-pyglet-pyc-2.1.5-r1.apk                        27-Aug-2025 14:45             1700002
py3-pyglm-2.7.3-r0.apk                             06-Nov-2024 09:42             1496935
py3-pygpgme-0.3.1-r9.apk                           25-Oct-2024 20:07               35881
py3-pygpgme-pyc-0.3.1-r9.apk                       25-Oct-2024 20:07                5190
py3-pygtail-0.14.0-r3.apk                          25-Oct-2024 20:07               15343
py3-pygtail-pyc-0.14.0-r3.apk                      25-Oct-2024 20:07               10334
py3-pyinstaller-6.6.0-r0.apk                       25-Oct-2024 20:07             1976274
py3-pyinstaller-pyc-6.6.0-r0.apk                   25-Oct-2024 20:07              514304
py3-pyinstrument-5.1.1-r0.apk                      13-Aug-2025 05:17              112247
py3-pyinstrument-pyc-5.1.1-r0.apk                  13-Aug-2025 05:17              102913
py3-pyisbn-1.3.1-r3.apk                            25-Oct-2024 20:07               20939
py3-pyisbn-pyc-1.3.1-r3.apk                        25-Oct-2024 20:07                9636
py3-pylru-1.2.1-r1.apk                             25-Oct-2024 20:07               16965
py3-pylru-pyc-1.2.1-r1.apk                         25-Oct-2024 20:07                9160
py3-pymaging-0.0.20130908-r10.apk                  25-Oct-2024 20:07               18418
py3-pymaging-png-0.0.20130727-r10.apk              25-Oct-2024 20:07               35858
py3-pymaging-png-pyc-0.0.20130727-r10.apk          25-Oct-2024 20:07               53168
py3-pymaging-pyc-0.0.20130908-r10.apk              25-Oct-2024 20:07               32435
py3-pymata-2.20-r4.apk                             25-Oct-2024 20:07               23038
py3-pymata-pyc-2.20-r4.apk                         25-Oct-2024 20:07               30101
py3-pymata4-1.15-r4.apk                            25-Oct-2024 20:07               23599
py3-pymata4-pyc-1.15-r4.apk                        25-Oct-2024 20:07               31563
py3-pymeta3-0.5.1-r6.apk                           25-Oct-2024 20:07               17146
py3-pymeta3-pyc-0.5.1-r6.apk                       25-Oct-2024 20:07               32306
py3-pymsgbox-1.0.9-r5.apk                          25-Oct-2024 20:07                9380
py3-pymsgbox-pyc-1.0.9-r5.apk                      25-Oct-2024 20:07               10079
py3-pymsteams-0.2.5-r0.apk                         17-Feb-2025 12:07               12646
py3-pymsteams-pyc-0.2.5-r0.apk                     17-Feb-2025 12:07                6697
py3-pymupdf-1.26.4-r2.apk                          19-Dec-2025 19:58              327868
py3-pymupdf-pyc-1.26.4-r2.apk                      19-Dec-2025 19:58              512245
py3-pynest2d-5.2.2-r5.apk                          06-Feb-2025 04:42              249332
py3-pyparted-3.13.0-r1.apk                         25-Oct-2024 20:07               77445
py3-pyparted-pyc-3.13.0-r1.apk                     25-Oct-2024 20:07               43180
py3-pypubsub-4.0.3-r0.apk                          25-Oct-2024 20:07               53643
py3-pypubsub-doc-4.0.3-r0.apk                      25-Oct-2024 20:07                2190
py3-pypubsub-pyc-4.0.3-r0.apk                      25-Oct-2024 20:07               92069
py3-pyqrcode-1.2.1-r0.apk                          25-Oct-2024 20:07               37433
py3-pyqrcode-doc-1.2.1-r0.apk                      25-Oct-2024 20:07                4405
py3-pyqrcode-pyc-1.2.1-r0.apk                      25-Oct-2024 20:07               48408
py3-pyrebase-3.0.27-r5.apk                         25-Oct-2024 20:07                9854
py3-pyrebase-pyc-3.0.27-r5.apk                     25-Oct-2024 20:07               17978
py3-pyroma-4.2-r0.apk                              25-Oct-2024 20:07               22396
py3-pyroma-pyc-4.2-r0.apk                          25-Oct-2024 20:07               26575
py3-pyscreeze-0.1.29-r3.apk                        25-Oct-2024 20:07               14445
py3-pyscreeze-pyc-0.1.29-r3.apk                    25-Oct-2024 20:07               14529
py3-pysimplesoap-1.16.2-r7.apk                     25-Oct-2024 20:07               44716
py3-pysimplesoap-pyc-1.16.2-r7.apk                 25-Oct-2024 20:07               80048
py3-pysonic-1.0.3-r0.apk                           16-Apr-2025 22:35               35595
py3-pysonic-pyc-1.0.3-r0.apk                       16-Apr-2025 22:35               33007
py3-pyspinel-1.0.3-r1.apk                          25-Oct-2024 20:07               57358
py3-pyspinel-pyc-1.0.3-r1.apk                      25-Oct-2024 20:07               64554
py3-pysrt-1.1.2-r5.apk                             14-May-2025 21:14               26093
py3-pysrt-pyc-1.1.2-r5.apk                         14-May-2025 21:14               23291
py3-pystache-0.6.5-r1.apk                          25-Oct-2024 20:07               69740
py3-pystache-pyc-0.6.5-r1.apk                      25-Oct-2024 20:07               98986
py3-pysubs2-1.8.0-r0.apk                           25-Dec-2024 22:09               36787
py3-pysubs2-pyc-1.8.0-r0.apk                       25-Dec-2024 22:09               69337
py3-pytaglib-3.0.0-r0.apk                          26-Jan-2025 20:17               39965
py3-pytaglib-pyc-3.0.0-r0.apk                      26-Jan-2025 20:17                2938
py3-pytap2-2.3.0-r0.apk                            25-Oct-2024 20:07                7137
py3-pytap2-doc-2.3.0-r0.apk                        25-Oct-2024 20:07                2862
py3-pytap2-pyc-2.3.0-r0.apk                        25-Oct-2024 20:07                6131
py3-pyte-0.8.2-r3.apk                              14-May-2025 21:14               30623
py3-pyte-pyc-0.8.2-r3.apk                          14-May-2025 21:14               40125
py3-pytest-datadir-1.8.0-r0.apk                    05-Aug-2025 12:48                7250
py3-pytest-datadir-pyc-1.8.0-r0.apk                05-Aug-2025 12:48                5523
py3-pytest-expect-1.1.0-r10.apk                    25-Oct-2024 20:07                6035
py3-pytest-expect-pyc-1.1.0-r10.apk                25-Oct-2024 20:07                7121
py3-pytest-home-0.6.0-r0.apk                       25-Oct-2024 20:07                4645
py3-pytest-home-pyc-0.6.0-r0.apk                   25-Oct-2024 20:07                2867
py3-pytest-html-4.1.1-r1.apk                       25-Oct-2024 20:07               22144
py3-pytest-html-pyc-4.1.1-r1.apk                   25-Oct-2024 20:07               22272
py3-pytest-metadata-3.1.1-r0.apk                   25-Oct-2024 20:07               10439
py3-pytest-metadata-pyc-3.1.1-r0.apk               25-Oct-2024 20:07                8086
py3-pytest-regtest-2.3.5-r0.apk                    12-Oct-2025 13:32               16451
py3-pytest-regtest-pyc-2.3.5-r0.apk                12-Oct-2025 13:32               30982
py3-pytest-subprocess-1.5.2-r0.apk                 25-Oct-2024 20:07               19976
py3-pytest-subprocess-pyc-1.5.2-r0.apk             25-Oct-2024 20:07               25103
py3-pytest-textual-snapshot-1.1.0-r0.apk           08-Aug-2025 12:57                9401
py3-pytest-textual-snapshot-pyc-1.1.0-r0.apk       08-Aug-2025 12:57               10772
py3-python-archive-0.2-r7.apk                      25-Oct-2024 20:07                7573
py3-python-archive-pyc-0.2-r7.apk                  25-Oct-2024 20:07                9573
py3-python-iptables-1.2.0-r1.apk                   12-Dec-2025 15:43               38637
py3-python-iptables-pyc-1.2.0-r1.apk               12-Dec-2025 15:43               69534
py3-python-jwt-4.1.0-r2.apk                        15-May-2025 21:22                8256
py3-python-jwt-pyc-4.1.0-r2.apk                    15-May-2025 21:22                6622
py3-python-logstash-0.4.8-r4.apk                   25-Oct-2024 20:07                8809
py3-python-logstash-doc-0.4.8-r4.apk               25-Oct-2024 20:07                2313
py3-python-logstash-pyc-0.4.8-r4.apk               25-Oct-2024 20:07                8616
py3-python-stdnum-1.20-r0.apk                      25-Oct-2024 20:07              825049
py3-python-stdnum-pyc-1.20-r0.apk                  25-Oct-2024 20:07              300540
py3-pyvcd-0.4.1-r0.apk                             11-Nov-2024 21:46               23214
py3-pyvcd-pyc-0.4.1-r0.apk                         11-Nov-2024 21:46               41423
py3-pyzor-1.1.2-r0.apk                             14-Aug-2025 06:26               41292
py3-pyzor-pyc-1.1.2-r0.apk                         14-Aug-2025 06:26               55272
py3-qasync-0.19.0-r2.apk                           25-Oct-2024 20:07               37750
py3-qpageview-0.6.2-r1.apk                         25-Oct-2024 20:07              100401
py3-qpageview-doc-0.6.2-r1.apk                     25-Oct-2024 20:07               57643
py3-qpageview-pyc-0.6.2-r1.apk                     25-Oct-2024 20:07              184552
py3-quebra-frases-0.3.7-r1.apk                     25-Oct-2024 20:07                9024
py3-quebra-frases-pyc-0.3.7-r1.apk                 25-Oct-2024 20:07                8002
py3-queuelib-1.8.0-r0.apk                          01-Sep-2025 18:13               12707
py3-queuelib-pyc-1.8.0-r0.apk                      01-Sep-2025 18:13               25070
py3-r2pipe-5.9.0-r0.apk                            29-Dec-2025 21:20               12017
py3-r2pipe-doc-5.9.0-r0.apk                        29-Dec-2025 21:20               22285
py3-r2pipe-pyc-5.9.0-r0.apk                        29-Dec-2025 21:20               21625
py3-rabbit-1.1.0-r8.apk                            25-Oct-2024 20:07               11201
py3-rabbit-pyc-1.1.0-r8.apk                        25-Oct-2024 20:07               15859
py3-radon-6.0.1-r2.apk                             25-Oct-2024 20:07               32273
py3-radon-doc-6.0.1-r2.apk                         25-Oct-2024 20:07                5265
py3-radon-pyc-6.0.1-r2.apk                         25-Oct-2024 20:07               50757
py3-recommonmark-0.7.1-r4.apk                      25-Oct-2024 20:07               12025
py3-recommonmark-pyc-0.7.1-r4.apk                  25-Oct-2024 20:07               17927
py3-recurring-ical-events-3.8.0-r0.apk             15-Jun-2025 04:01               39815
py3-recurring-ical-events-pyc-3.8.0-r0.apk         15-Jun-2025 04:01               51079
py3-redmine-2.5.0-r0.apk                           25-Oct-2024 20:07               37664
py3-redmine-pyc-2.5.0-r0.apk                       25-Oct-2024 20:07               54877
py3-remind-0.19.2-r0.apk                           22-Apr-2025 21:28               25019
py3-remind-pyc-0.19.2-r0.apk                       22-Apr-2025 21:28               23065
py3-requests-cache-1.2.1-r1.apk                    19-Nov-2024 22:34               51130
py3-requests-cache-pyc-1.2.1-r1.apk                19-Nov-2024 22:34               96469
py3-requests-kerberos-0.15.0-r0.apk                01-Dec-2024 17:24               12388
py3-requests-kerberos-pyc-0.15.0-r0.apk            01-Dec-2024 17:24               11189
py3-requests-wsgi-adapter-0.4.1-r1.apk             25-Oct-2024 20:07                5643
py3-requests-wsgi-adapter-pyc-0.4.1-r1.apk         25-Oct-2024 20:07                6758
py3-rfc-bibtex-0.3.2-r7.apk                        25-Oct-2024 20:07               13176
py3-rfc-bibtex-pyc-0.3.2-r7.apk                    25-Oct-2024 20:07               12743
py3-rich-click-1.7.3-r1.apk                        25-Oct-2024 20:07               31469
py3-rich-click-pyc-1.7.3-r1.apk                    25-Oct-2024 20:07               41300
py3-riotctrl-0.5.0-r4.apk                          25-Oct-2024 20:07               13351
py3-riotctrl-pyc-0.5.0-r4.apk                      25-Oct-2024 20:07               10967
py3-rns-1.0.0-r0.apk                               23-Sep-2025 19:39              352463
py3-rns-pyc-1.0.0-r0.apk                           23-Sep-2025 19:39              721452
py3-rofi-1.0.1-r1.apk                              08-Aug-2025 23:40               12453
py3-rofi-pyc-1.0.1-r1.apk                          08-Aug-2025 23:40               12032
py3-rosdistro-0.9.0-r3.apk                         25-Oct-2024 20:07               48195
py3-rosdistro-pyc-0.9.0-r3.apk                     25-Oct-2024 20:07               93451
py3-rospkg-1.2.9-r5.apk                            25-Oct-2024 20:07               29493
py3-rospkg-pyc-1.2.9-r5.apk                        25-Oct-2024 20:07               55422
py3-rpio-0.10.1-r8.apk                             25-Oct-2024 20:07               36607
py3-rpio-pyc-0.10.1-r8.apk                         25-Oct-2024 20:07               16331
py3-rst-0.1-r9.apk                                 25-Oct-2024 20:07                5696
py3-rst-pyc-0.1-r9.apk                             25-Oct-2024 20:07                6257
py3-rst.linker-2.6.0-r0.apk                        25-Oct-2024 20:07                6239
py3-rst.linker-pyc-2.6.0-r0.apk                    25-Oct-2024 20:07                6805
py3-rst2ansi-0.1.5-r0.apk                          25-Oct-2024 20:07               12451
py3-rst2ansi-doc-0.1.5-r0.apk                      25-Oct-2024 20:07                2293
py3-rst2ansi-pyc-0.1.5-r0.apk                      25-Oct-2024 20:07               24545
py3-rst2pdf-0.102-r0.apk                           10-Jun-2025 19:49              158207
py3-rst2pdf-pyc-0.102-r0.apk                       10-Jun-2025 19:49              210734
py3-rtree-1.4.1-r0.apk                             14-Aug-2025 10:10               27077
py3-rtree-pyc-1.4.1-r0.apk                         14-Aug-2025 10:10               49260
py3-schema-0.7.7-r0.apk                            07-Jul-2025 16:08               19889
py3-schema-pyc-0.7.7-r0.apk                        07-Jul-2025 16:08               21011
py3-scour-0.38.2-r1.apk                            25-Oct-2024 20:07               57741
py3-scour-pyc-0.38.2-r1.apk                        25-Oct-2024 20:07               75542
py3-scrapy-2.11.1-r1.apk                           25-Oct-2024 20:07              245739
py3-scrapy-pyc-2.11.1-r1.apk                       25-Oct-2024 20:07              493583
py3-scs-3.2.3-r4.apk                               25-Oct-2024 20:07              103762
py3-scs-pyc-3.2.3-r4.apk                           25-Oct-2024 20:07                5043
py3-senf-1.5.0-r0.apk                              27-Jun-2025 02:58               20670
py3-senf-pyc-1.5.0-r0.apk                          27-Jun-2025 02:58               33278
py3-seqdiag-3.0.0-r5.apk                           25-Oct-2024 20:07             2581805
py3-seqdiag-pyc-3.0.0-r5.apk                       25-Oct-2024 20:07               43246
py3-setuptools-lint-0.6.0-r9.apk                   25-Oct-2024 20:07                5553
py3-setuptools-lint-pyc-0.6.0-r9.apk               25-Oct-2024 20:07                6148
py3-sh-2.1.0-r0.apk                                01-Nov-2024 15:13               38664
py3-sh-pyc-2.1.0-r0.apk                            01-Nov-2024 15:13               56172
py3-shodan-1.31.0-r1.apk                           25-Oct-2024 20:07               45152
py3-shodan-doc-1.31.0-r1.apk                       25-Oct-2024 20:07                7370
py3-shodan-pyc-1.31.0-r1.apk                       25-Oct-2024 20:07               81050
py3-simber-0.2.6-r5.apk                            14-May-2025 21:14               12171
py3-simber-pyc-0.2.6-r5.apk                        14-May-2025 21:14               16593
py3-simple-websocket-1.1.0-r0.apk                  05-Sep-2025 23:29               11131
py3-simple-websocket-doc-1.1.0-r0.apk              05-Sep-2025 23:29                2341
py3-simple-websocket-pyc-1.1.0-r0.apk              05-Sep-2025 23:29               23300
py3-simpleeval-1.0.3-r0.apk                        24-Jun-2025 19:38               15995
py3-simpleeval-pyc-1.0.3-r0.apk                    24-Jun-2025 19:38               16866
py3-simplematch-1.4-r1.apk                         25-Oct-2024 20:07                8192
py3-simplematch-pyc-1.4-r1.apk                     25-Oct-2024 20:07                5938
py3-simplesat-0.8.2-r0.apk                         25-Oct-2024 20:07              219161
py3-simplesat-pyc-0.8.2-r0.apk                     25-Oct-2024 20:07              160329
py3-simplesoapy-1.5.1-r7.apk                       25-Oct-2024 20:07                8051
py3-simplesoapy-pyc-1.5.1-r7.apk                   25-Oct-2024 20:07               12054
py3-simplespectral-1.0.0-r5.apk                    25-Oct-2024 20:07                7682
py3-simplespectral-pyc-1.0.0-r5.apk                25-Oct-2024 20:07                8276
py3-slidge-style-parser-0.1.9-r0.apk               12-Apr-2025 10:35              228656
py3-slidge-style-parser-pyc-0.1.9-r0.apk           12-Apr-2025 10:35                2014
py3-slixmpp-1.8.5-r2.apk                           25-Oct-2024 20:07              391111
py3-slixmpp-doc-1.8.5-r2.apk                       25-Oct-2024 20:07                5947
py3-slixmpp-pyc-1.8.5-r2.apk                       25-Oct-2024 20:07              746993
py3-snapshottest-0.6.0-r5.apk                      25-Oct-2024 20:07               15475
py3-snapshottest-pyc-0.6.0-r5.apk                  25-Oct-2024 20:07               26352
py3-soappy-0.52.30-r0.apk                          02-Dec-2024 22:54               48394
py3-soappy-pyc-0.52.30-r0.apk                      02-Dec-2024 22:54               97566
py3-soapy_power-1.6.1-r5.apk                       25-Oct-2024 20:07               17909
py3-soapy_power-pyc-1.6.1-r5.apk                   25-Oct-2024 20:07               27157
py3-socketio-5.13.0-r0.apk                         05-Sep-2025 23:29               63712
py3-socketio-doc-5.13.0-r0.apk                     05-Sep-2025 23:29               36875
py3-socketio-pyc-5.13.0-r0.apk                     05-Sep-2025 23:29              129772
py3-sortedcollections-2.1.0-r5.apk                 25-Oct-2024 20:07               10913
py3-sortedcollections-pyc-2.1.0-r5.apk             25-Oct-2024 20:07               14202
py3-spake2-0.9-r0.apk                              25-Oct-2024 20:07               30866
py3-spake2-pyc-0.9-r0.apk                          25-Oct-2024 20:07               44555
py3-sphinx-argparse-0.5.2-r0.apk                   25-Oct-2024 20:07               14526
py3-sphinx-argparse-pyc-0.5.2-r0.apk               25-Oct-2024 20:07               22645
py3-sphinx-autoapi-3.6.1-r0.apk                    11-Oct-2025 10:59               32090
py3-sphinx-autoapi-pyc-3.6.1-r0.apk                11-Oct-2025 10:59               60334
py3-sphinx-theme-better-0.1.5-r7.apk               25-Oct-2024 20:07               10777
py3-sphinx-theme-better-pyc-0.1.5-r7.apk           25-Oct-2024 20:07                2031
py3-sphinx-theme-bootstrap-0.8.1-r4.apk            25-Oct-2024 20:07             1212513
py3-sphinx-theme-bootstrap-pyc-0.8.1-r4.apk        25-Oct-2024 20:07                2402
py3-sphinx-theme-bw-0.1.8-r7.apk                   25-Oct-2024 20:07               66313
py3-sphinx-theme-bw-pyc-0.1.8-r7.apk               25-Oct-2024 20:07                1878
py3-sphinx-theme-cloud-1.10.0-r2.apk               25-Oct-2024 20:07               83256
py3-sphinx-theme-cloud-pyc-1.10.0-r2.apk           25-Oct-2024 20:07               43799
py3-sphinx-theme-epfl-1.1.1-r9.apk                 25-Oct-2024 20:07               30569
py3-sphinx-theme-epfl-pyc-1.1.1-r9.apk             25-Oct-2024 20:07                2530
py3-sphinx-theme-guzzle-0.7.11-r7.apk              25-Oct-2024 20:07             2564871
py3-sphinx-theme-guzzle-pyc-0.7.11-r7.apk          25-Oct-2024 20:07                5645
py3-sphinx-theme-readable-1.3.0-r9.apk             25-Oct-2024 20:07                9029
py3-sphinx-theme-readable-pyc-1.3.0-r9.apk         25-Oct-2024 20:07                2262
py3-sphinxcontrib-actdiag-3.0.0-r4.apk             25-Oct-2024 20:07                7819
py3-sphinxcontrib-actdiag-pyc-3.0.0-r4.apk         25-Oct-2024 20:07                9499
py3-sphinxcontrib-adadomain-0.2-r9.apk             25-Oct-2024 20:07                9222
py3-sphinxcontrib-adadomain-pyc-0.2-r9.apk         25-Oct-2024 20:07               12266
py3-sphinxcontrib-bitbucket-1.0-r8.apk             25-Oct-2024 20:07                5808
py3-sphinxcontrib-bitbucket-pyc-1.0-r8.apk         25-Oct-2024 20:07                4182
py3-sphinxcontrib-blockdiag-3.0.0-r4.apk           25-Oct-2024 20:07                7726
py3-sphinxcontrib-blockdiag-pyc-3.0.0-r4.apk       25-Oct-2024 20:07                9369
py3-sphinxcontrib-cacoo-2.0.0-r7.apk               25-Oct-2024 20:07                5866
py3-sphinxcontrib-cacoo-pyc-2.0.0-r7.apk           25-Oct-2024 20:07                4351
py3-sphinxcontrib-cartouche-1.1.2-r7.apk           25-Oct-2024 20:07               18355
py3-sphinxcontrib-cartouche-pyc-1.1.2-r7.apk       25-Oct-2024 20:07               34307
py3-sphinxcontrib-fulltoc-1.2.0-r8.apk             25-Oct-2024 20:07                9336
py3-sphinxcontrib-fulltoc-pyc-1.2.0-r8.apk         25-Oct-2024 20:07                3562
py3-sphinxcontrib-gist-0.1.0-r9.apk                25-Oct-2024 20:07                4008
py3-sphinxcontrib-gist-pyc-0.1.0-r9.apk            25-Oct-2024 20:07                3409
py3-sphinxcontrib-git-11.0.0-r7.apk                25-Oct-2024 20:07               17538
py3-sphinxcontrib-git-pyc-11.0.0-r7.apk            25-Oct-2024 20:07                6781
py3-sphinxcontrib-gravatar-0.1.2-r8.apk            25-Oct-2024 20:07                8050
py3-sphinxcontrib-gravatar-pyc-0.1.2-r8.apk        25-Oct-2024 20:07                7805
py3-sphinxcontrib-htsql-0.1.5-r8.apk               25-Oct-2024 20:07               10807
py3-sphinxcontrib-htsql-pyc-0.1.5-r8.apk           25-Oct-2024 20:07               15395
py3-sphinxcontrib-httpdomain-1.8.1-r3.apk          25-Oct-2024 20:07               18531
py3-sphinxcontrib-httpdomain-lang-1.8.1-r3.apk     25-Oct-2024 20:07                4437
py3-sphinxcontrib-httpdomain-pyc-1.8.1-r3.apk      25-Oct-2024 20:07               34702
py3-sphinxcontrib-hydomain-0.1.0_git20230930-r1..> 25-Oct-2024 20:07               21880
py3-sphinxcontrib-hydomain-pyc-0.1.0_git2023093..> 25-Oct-2024 20:07               44888
py3-sphinxcontrib-inheritance-0.9.0-r9.apk         25-Oct-2024 20:07               10786
py3-sphinxcontrib-inheritance-pyc-0.9.0-r9.apk     25-Oct-2024 20:07               15441
py3-sphinxcontrib-issuetracker-0.11-r7.apk         25-Oct-2024 20:07               11361
py3-sphinxcontrib-issuetracker-pyc-0.11-r7.apk     25-Oct-2024 20:07               12231
py3-sphinxcontrib-lassodomain-0.4-r8.apk           25-Oct-2024 20:07                8109
py3-sphinxcontrib-lassodomain-pyc-0.4-r8.apk       25-Oct-2024 20:07               10850
py3-sphinxcontrib-manpage-0.6-r8.apk               25-Oct-2024 20:07                4235
py3-sphinxcontrib-manpage-pyc-0.6-r8.apk           25-Oct-2024 20:07                3223
py3-sphinxcontrib-mermaid-1.0.0-r0.apk             14-May-2025 21:14               10504
py3-sphinxcontrib-mermaid-pyc-1.0.0-r0.apk         14-May-2025 21:14               16414
py3-sphinxcontrib-nwdiag-2.0.0-r7.apk              25-Oct-2024 20:07                8923
py3-sphinxcontrib-nwdiag-pyc-2.0.0-r7.apk          25-Oct-2024 20:07               11460
py3-sphinxcontrib-phpdomain-0.13.0-r0.apk          15-Jul-2025 08:22               11610
py3-sphinxcontrib-phpdomain-pyc-0.13.0-r0.apk      15-Jul-2025 08:22               17610
py3-sphinxcontrib-programoutput-0.17-r5.apk        25-Oct-2024 20:07               16866
py3-sphinxcontrib-programoutput-pyc-0.17-r5.apk    25-Oct-2024 20:07               24422
py3-sphinxcontrib-restbuilder-0.3-r6.apk           25-Oct-2024 20:07               11596
py3-sphinxcontrib-restbuilder-pyc-0.3-r6.apk       25-Oct-2024 20:07               20991
py3-sphinxcontrib-seqdiag-3.0.0-r5.apk             25-Oct-2024 20:07                7833
py3-sphinxcontrib-seqdiag-pyc-3.0.0-r5.apk         25-Oct-2024 20:07                9520
py3-sphinxcontrib-slide-1.0.0-r4.apk               14-May-2025 21:14                4999
py3-sphinxcontrib-slide-pyc-1.0.0-r4.apk           14-May-2025 21:14                5694
py3-sphinxcontrib-spelling-8.0.1-r0.apk            15-Jul-2025 08:22               13504
py3-sphinxcontrib-spelling-pyc-8.0.1-r0.apk        15-Jul-2025 08:22               19385
py3-sphinxcontrib-sqltable-2.0.0-r8.apk            25-Oct-2024 20:07                7520
py3-sphinxcontrib-sqltable-pyc-2.0.0-r8.apk        25-Oct-2024 20:07                5047
py3-sphinxcontrib-textstyle-0.2.3-r8.apk           25-Oct-2024 20:07                6255
py3-sphinxcontrib-textstyle-pyc-0.2.3-r8.apk       25-Oct-2024 20:07                5789
py3-spidev-3.6-r1.apk                              25-Oct-2024 20:07               13821
py3-spin-0.8-r0.apk                                25-Oct-2024 20:07               18965
py3-spin-pyc-0.8-r0.apk                            25-Oct-2024 20:07               25076
py3-spinners-0.0.24-r5.apk                         25-Oct-2024 20:07                6237
py3-spinners-pyc-0.0.24-r5.apk                     25-Oct-2024 20:07                6401
py3-spnego-0.11.2-r0.apk                           16-Jan-2025 07:52              120737
py3-spnego-pyc-0.11.2-r0.apk                       16-Jan-2025 07:52              224331
py3-spotipy-2.24.0-r3.apk                          14-Oct-2025 15:05               30324
py3-spotipy-pyc-2.24.0-r3.apk                      14-Oct-2025 15:05               50673
py3-sqlmodel-0.0.22-r1.apk                         06-Dec-2024 23:03               26956
py3-sqlmodel-pyc-0.0.22-r1.apk                     06-Dec-2024 23:03               42169
py3-sssd-2.11.1-r2.apk                             20-Nov-2025 22:15               57637
py3-sssd-pyc-2.11.1-r2.apk                         20-Nov-2025 22:15               49791
py3-sstash-0.17-r9.apk                             25-Oct-2024 20:07                7910
py3-sstash-pyc-0.17-r9.apk                         25-Oct-2024 20:07               10535
py3-svglib-1.5.1-r0.apk                            10-Jun-2025 19:49               30615
py3-svglib-doc-1.5.1-r0.apk                        10-Jun-2025 19:49                2128
py3-svglib-pyc-1.5.1-r0.apk                        10-Jun-2025 19:49               43433
py3-svgpath-7.0-r0.apk                             08-Jul-2025 06:16               19048
py3-svgpath-pyc-7.0-r0.apk                         08-Jul-2025 06:16               24383
py3-swagger-ui-bundle-1.1.0-r1.apk                 25-Oct-2024 20:07             2602511
py3-swagger-ui-bundle-pyc-1.1.0-r1.apk             25-Oct-2024 20:07                2155
py3-synapse-auto-accept-invite-1.2.0-r1.apk        08-Aug-2025 23:40                9999
py3-synapse-auto-accept-invite-pyc-1.2.0-r1.apk    08-Aug-2025 23:40                5758
py3-tailer-0.4.1-r7.apk                            25-Oct-2024 20:07                7033
py3-tailer-pyc-0.4.1-r7.apk                        25-Oct-2024 20:07                6791
py3-tasklib-2.5.1-r2.apk                           25-Oct-2024 20:07               23652
py3-tasklib-pyc-2.5.1-r2.apk                       25-Oct-2024 20:07               52764
py3-telegram-bot-22.5-r0.apk                       19-Nov-2025 18:29              509417
py3-telegram-bot-pyc-22.5-r0.apk                   19-Nov-2025 18:29              821734
py3-telegram-text-0.2.0-r1.apk                     25-Oct-2024 20:07                9412
py3-telegram-text-pyc-0.2.0-r1.apk                 25-Oct-2024 20:07               13261
py3-telemetrix-1.20-r3.apk                         25-Oct-2024 20:07               21442
py3-telemetrix-pyc-1.20-r3.apk                     25-Oct-2024 20:07               31787
py3-teletype-1.3.4-r3.apk                          25-Oct-2024 20:07               15778
py3-teletype-pyc-1.3.4-r3.apk                      25-Oct-2024 20:07               21016
py3-testresources-2.0.1-r6.apk                     25-Oct-2024 20:07               17765
py3-testresources-pyc-2.0.1-r6.apk                 25-Oct-2024 20:07               16335
py3-textual-5.3.0-r0.apk                           27-Oct-2025 08:13              626443
py3-textual-pyc-5.3.0-r0.apk                       27-Oct-2025 08:13             1249977
py3-thefuzz-0.22.1-r1.apk                          25-Oct-2024 20:07               10251
py3-thefuzz-pyc-0.22.1-r1.apk                      25-Oct-2024 20:07                9165
py3-ticket-auth-0.1.4-r9.apk                       25-Oct-2024 20:07                6094
py3-ticket-auth-pyc-0.1.4-r9.apk                   25-Oct-2024 20:07                6528
py3-tidalapi-0.8.4-r0.apk                          15-Jul-2025 08:22               51324
py3-tidalapi-pyc-0.8.4-r0.apk                      15-Jul-2025 08:22               92889
py3-timeago-1.0.16-r0.apk                          25-Oct-2024 20:07               24165
py3-timeago-doc-1.0.16-r0.apk                      25-Oct-2024 20:07                2917
py3-timeago-pyc-1.0.16-r0.apk                      25-Oct-2024 20:07               28323
py3-tls_parser-2.0.2-r0.apk                        15-Jul-2025 08:22               10552
py3-tls_parser-pyc-2.0.2-r0.apk                    15-Jul-2025 08:22               17571
py3-tlslite-ng-0.7.6-r8.apk                        25-Oct-2024 20:07              182786
py3-tlslite-ng-pyc-0.7.6-r8.apk                    25-Oct-2024 20:07              281365
py3-tokenizers-0.21.2-r0.apk                       29-Jun-2025 22:42             1771799
py3-tokenizers-pyc-0.21.2-r0.apk                   29-Jun-2025 22:42               29557
py3-tpm2-pytss-2.3.0-r1.apk                        25-Oct-2024 20:07              292629
py3-tpm2-pytss-pyc-2.3.0-r1.apk                    25-Oct-2024 20:07              241768
py3-trakit-0.2.5-r0.apk                            23-Nov-2025 23:18               19708
py3-trakit-pyc-0.2.5-r0.apk                        23-Nov-2025 23:18               17083
py3-transitions-0.9.2-r0.apk                       25-Oct-2024 20:07              100080
py3-transitions-pyc-0.9.2-r0.apk                   25-Oct-2024 20:07              131886
py3-translationstring-1.4-r4.apk                   25-Oct-2024 20:07                9372
py3-translationstring-pyc-1.4-r4.apk               25-Oct-2024 20:07                8977
py3-trivup-0.12.2-r2.apk                           25-Oct-2024 20:07               34838
py3-trivup-pyc-0.12.2-r2.apk                       25-Oct-2024 20:07               56224
py3-truststore-0.10.1-r0.apk                       10-Feb-2025 01:33               17908
py3-truststore-pyc-0.10.1-r0.apk                   10-Feb-2025 01:33               26120
py3-twiggy-0.5.1-r4.apk                            25-Oct-2024 20:07               24708
py3-twiggy-pyc-0.5.1-r4.apk                        25-Oct-2024 20:07               39608
py3-typing_inspect-0.9.0-r2.apk                    25-Oct-2024 20:07               10150
py3-typing_inspect-pyc-0.9.0-r2.apk                25-Oct-2024 20:07               14567
py3-u-msgpack-2.8.0-r2.apk                         25-Oct-2024 20:07               11171
py3-u-msgpack-pyc-2.8.0-r2.apk                     25-Oct-2024 20:07               16624
py3-uacme-desec-1.2.1-r0.apk                       25-Oct-2024 20:07                5839
py3-uacme-desec-doc-1.2.1-r0.apk                   25-Oct-2024 20:07                2246
py3-uacme-desec-pyc-1.2.1-r0.apk                   25-Oct-2024 20:07                6935
py3-uc-micro-py-1.0.3-r0.apk                       27-Oct-2025 08:13                6697
py3-uc-micro-py-pyc-1.0.3-r0.apk                   27-Oct-2025 08:13                4707
py3-unearth-0.18.0-r0.apk                          14-Oct-2025 14:54               41735
py3-unearth-pyc-0.18.0-r0.apk                      14-Oct-2025 14:54               84306
py3-unicorn-2.1.4-r0.apk                           14-Oct-2025 14:04               51791
py3-unicorn-hat-2.1.2-r6.apk                       06-Nov-2024 09:42               16003
py3-unicorn-pyc-2.1.4-r0.apk                       14-Oct-2025 14:04               94555
py3-unicrypto-0.0.12-r0.apk                        03-Nov-2025 06:49               59991
py3-unicrypto-pyc-0.0.12-r0.apk                    03-Nov-2025 06:49               94384
py3-unidns-0.0.4-r0.apk                            03-Nov-2025 06:49               14720
py3-unidns-examples-0.0.4-r0.apk                   03-Nov-2025 06:49                2669
py3-unidns-pyc-0.0.4-r0.apk                        03-Nov-2025 06:49               25581
py3-uptime-3.0.1-r9.apk                            25-Oct-2024 20:07                9985
py3-uptime-pyc-3.0.1-r9.apk                        25-Oct-2024 20:07                8908
py3-urlobject-2.4.3-r9.apk                         25-Oct-2024 20:07               15115
py3-urlobject-pyc-2.4.3-r9.apk                     25-Oct-2024 20:07               25270
py3-us-3.2.0-r0.apk                                25-Oct-2024 20:07               14663
py3-us-pyc-3.2.0-r0.apk                            25-Oct-2024 20:07               15558
py3-utc-0.0.3-r9.apk                               25-Oct-2024 20:07                3555
py3-utc-pyc-0.0.3-r9.apk                           25-Oct-2024 20:07                2826
py3-vatnumber-1.2-r9.apk                           25-Oct-2024 20:07               19072
py3-vatnumber-pyc-1.2-r9.apk                       25-Oct-2024 20:07                8831
py3-vdf-3.4-r2.apk                                 16-Oct-2025 22:04               11425
py3-vdf-pyc-3.4-r2.apk                             16-Oct-2025 22:04               16919
py3-venusian-3.1.1-r0.apk                          07-Dec-2024 21:14               14698
py3-venusian-pyc-3.1.1-r0.apk                      07-Dec-2024 21:14               12798
py3-virtualenvwrapper-6.1.1-r1.apk                 18-Dec-2025 11:02               22149
py3-virtualenvwrapper-pyc-6.1.1-r1.apk             18-Dec-2025 11:02               12031
py3-visitor-0.1.3-r7.apk                           25-Oct-2024 20:07                4733
py3-visitor-pyc-0.1.3-r7.apk                       25-Oct-2024 20:07                2687
py3-ward-0.67.0_beta0-r2.apk                       25-Oct-2024 20:07               41683
py3-ward-pyc-0.67.0_beta0-r2.apk                   25-Oct-2024 20:07               81465
py3-wbdata-1.0.0-r1.apk                            25-Oct-2024 20:07               18935
py3-wbdata-pyc-1.0.0-r1.apk                        25-Oct-2024 20:07               20044
py3-wg-netns-2.3.1-r1.apk                          25-Oct-2024 20:07                7747
py3-wg-netns-pyc-2.3.1-r1.apk                      25-Oct-2024 20:07               13710
py3-wgconfig-1.1.0-r0.apk                          29-Jan-2025 06:49               22496
py3-wgconfig-pyc-1.1.0-r0.apk                      29-Jan-2025 06:49               11979
py3-wifi-0.3.8-r7.apk                              25-Oct-2024 20:07               13323
py3-wifi-pyc-0.3.8-r7.apk                          25-Oct-2024 20:07               14065
py3-wikipedia-1.4.0-r0.apk                         14-Sep-2025 02:03               12507
py3-wikipedia-doc-1.4.0-r0.apk                     14-Sep-2025 02:03                4245
py3-wikipedia-pyc-1.4.0-r0.apk                     14-Sep-2025 02:03               16592
py3-winacl-0.1.9-r1.apk                            29-May-2025 11:57               84668
py3-winacl-pyc-0.1.9-r1.apk                        29-May-2025 11:57              134392
py3-wsgiprox-1.5.2-r1.apk                          25-Oct-2024 20:07               17081
py3-wsgiprox-pyc-1.5.2-r1.apk                      25-Oct-2024 20:07               28844
py3-wstools-0.4.10-r7.apk                          25-Oct-2024 20:07               54482
py3-wstools-pyc-0.4.10-r7.apk                      25-Oct-2024 20:07              113412
py3-wtf-peewee-3.0.6-r0.apk                        25-Oct-2024 20:07               13022
py3-wtf-peewee-pyc-3.0.6-r0.apk                    25-Oct-2024 20:07               25248
py3-x-wr-timezone-2.0.1-r0.apk                     09-Feb-2025 15:13               11932
py3-x-wr-timezone-pyc-2.0.1-r0.apk                 09-Feb-2025 15:13                7270
py3-xapp-2.4.2-r0.apk                              12-Nov-2024 11:04               34418
py3-xdoctest-1.2.0-r0.apk                          21-Nov-2024 13:31              319995
py3-xsdata-25.7-r0.apk                             07-Jul-2025 20:47              194228
py3-xsdata-pyc-25.7-r0.apk                         07-Jul-2025 20:47              402124
py3-yapsy-1.12.2-r7.apk                            25-Oct-2024 20:07               33016
py3-yapsy-pyc-1.12.2-r7.apk                        25-Oct-2024 20:07               48271
py3-yara-4.5.4-r0.apk                              13-Aug-2025 15:32               17751
py3-yosys-0.57-r0.apk                              24-Oct-2025 21:15              569952
py3-youtube-search-1.6.6-r5.apk                    14-May-2025 21:14               79953
py3-youtube-search-pyc-1.6.6-r5.apk                14-May-2025 21:14               97590
py3-zimscraperlib-3.4.0-r0.apk                     06-Nov-2024 09:42               52855
py3-zimscraperlib-pyc-3.4.0-r0.apk                 06-Nov-2024 09:42               70010
py3-zipfile2-0.0.12-r0.apk                         25-Oct-2024 20:07               46237
py3-zipfile2-pyc-0.0.12-r0.apk                     25-Oct-2024 20:07               29623
py3-zope-configuration-7.0-r0.apk                  02-Dec-2025 00:39               39592
py3-zope-configuration-pyc-7.0-r0.apk              02-Dec-2025 00:39               50179
py3-zope-i18nmessageid-8.2-r0.apk                  25-Dec-2025 12:29               14312
py3-zope-i18nmessageid-pyc-8.2-r0.apk              25-Dec-2025 12:29                8604
py3-zope-schema-7.0.1-r3.apk                       25-Oct-2024 20:07               46345
py3-zope-schema-pyc-7.0.1-r3.apk                   25-Oct-2024 20:07               62537
pyinfra-3.3.1-r0.apk                               16-Jul-2025 08:09              196592
pyinfra-pyc-3.3.1-r0.apk                           16-Jul-2025 08:09              369697
pympress-1.8.6-r0.apk                              10-Nov-2025 05:00              183848
pympress-doc-1.8.6-r0.apk                          10-Nov-2025 05:00               76290
pympress-lang-1.8.6-r0.apk                         10-Nov-2025 05:00               60204
pympress-pyc-1.8.6-r0.apk                          10-Nov-2025 05:00              186194
pyonji-0.1.0-r11.apk                               04-Dec-2025 13:54             3020456
pypykatz-0.6.11-r1.apk                             29-May-2025 11:57              322959
pypykatz-pyc-0.6.11-r1.apk                         29-May-2025 11:57              738434
pyradio-0.9.3.11-r0.apk                            25-Oct-2024 20:07              891700
pyradio-doc-0.9.3.11-r0.apk                        25-Oct-2024 20:07              115356
pyradio-pyc-0.9.3.11-r0.apk                        25-Oct-2024 20:07              829773
q6voiced-0.2.1-r0.apk                              30-Dec-2025 13:28                4601
q6voiced-doc-0.2.1-r0.apk                          30-Dec-2025 13:28                2323
q6voiced-openrc-0.2.1-r0.apk                       30-Dec-2025 13:28                1843
q6voiced-systemd-0.2.1-r0.apk                      30-Dec-2025 13:28                1881
qadwaitadecorations-0.1.7-r1.apk                   07-Oct-2025 21:03               45145
qbittorrent-cli-2.2.0-r5.apk                       04-Dec-2025 13:54             5936229
qdjango-0.6.2-r1.apk                               25-Oct-2024 20:07               94766
qdjango-dev-0.6.2-r1.apk                           25-Oct-2024 20:07               14392
qflipper-1.3.3-r1.apk                              25-Oct-2024 20:07              453246
qflipper-gui-1.3.3-r1.apk                          25-Oct-2024 20:07             1087800
qoi-0.0.0_git20230312-r0.apk                       25-Oct-2024 20:07                1500
qoi-dev-0.0.0_git20230312-r0.apk                   25-Oct-2024 20:07                7000
qoiconv-0.0.0_git20230312-r0.apk                   25-Oct-2024 20:07               28706
qpdfview-0.5-r2.apk                                29-Jan-2025 19:00             1009064
qpdfview-doc-0.5-r2.apk                            29-Jan-2025 19:00                4348
qperf-0.4.11-r2.apk                                14-May-2025 21:14               33574
qperf-doc-0.4.11-r2.apk                            14-May-2025 21:14                5699
qqc2-suru-style-0.20230206-r1.apk                  25-Oct-2024 20:07              175325
qrcodegen-1.8.0-r0.apk                             29-Nov-2025 18:56               11803
qrcodegen-dev-1.8.0-r0.apk                         29-Nov-2025 18:56               17656
qrcodegen-doc-1.8.0-r0.apk                         29-Nov-2025 18:56                3647
qsstv-9.5.8-r2.apk                                 25-Oct-2024 20:07              891653
qstardict-2.0.2-r1.apk                             24-Nov-2024 03:04              448411
qstardict-doc-2.0.2-r1.apk                         24-Nov-2024 03:04               10895
qsynth-1.0.3-r0.apk                                25-Nov-2025 11:01              431964
qsynth-doc-1.0.3-r0.apk                            25-Nov-2025 11:01                4480
qt5ct-1.9-r0.apk                                   19-Nov-2025 04:36              222087
qt5ct-dev-1.9-r0.apk                               19-Nov-2025 04:36                1576
qt6ct-0.11-r2.apk                                  04-Dec-2025 13:54              192477
qtile-0.33.0-r0.apk                                17-Sep-2025 07:45              480194
qtile-pyc-0.33.0-r0.apk                            17-Sep-2025 07:45              878529
qtpass-1.4.0-r0.apk                                25-Oct-2024 20:07              427206
qtpass-doc-1.4.0-r0.apk                            25-Oct-2024 20:07                2172
quakespasm-0.96.3-r0.apk                           25-Oct-2024 20:07              476719
queercat-1.0.0-r0.apk                              25-Oct-2024 20:07                8203
quodlibet-4.7.1-r0.apk                             16-Sep-2025 18:53             1091032
quodlibet-bash-completion-4.7.1-r0.apk             16-Sep-2025 18:53                4604
quodlibet-doc-4.7.1-r0.apk                         16-Sep-2025 18:53                8868
quodlibet-lang-4.7.1-r0.apk                        16-Sep-2025 18:53             1487942
quodlibet-pyc-4.7.1-r0.apk                         16-Sep-2025 18:53             1902379
quodlibet-zsh-completion-4.7.1-r0.apk              16-Sep-2025 18:53                2774
raku-distribution-builder-makefromjson-0.6-r1.apk  29-Aug-2025 07:35               42348
raku-distribution-builder-makefromjson-doc-0.6-..> 29-Aug-2025 07:35                2408
raku-inline-perl5-0.60_git20250327-r1.apk          29-Aug-2025 07:35             2193140
raku-inline-perl5-doc-0.60_git20250327-r1.apk      29-Aug-2025 07:35                6442
raku-system-query-0.1.6-r1.apk                     29-Aug-2025 07:35               17124
raku-system-query-doc-0.1.6-r1.apk                 29-Aug-2025 07:35                2574
randrctl-1.10.0-r0.apk                             19-Nov-2024 22:34               28597
randrctl-pyc-1.10.0-r0.apk                         19-Nov-2024 22:34               31937
rankwidth-0.9-r4.apk                               27-Oct-2025 22:18                5271
rankwidth-dev-0.9-r4.apk                           27-Oct-2025 22:18                2995
rankwidth-doc-0.9-r4.apk                           27-Oct-2025 22:18                2983
rankwidth-libs-0.9-r4.apk                          27-Oct-2025 22:18                4946
rankwidth-static-0.9-r4.apk                        27-Oct-2025 22:18                4118
raspberrypi-usbboot-20250227-r0.apk                09-Jun-2025 08:39              907815
raspi2png-0.0.20190727-r0.apk                      25-Oct-2024 20:07                6317
rathole-0.5.0-r0.apk                               25-Oct-2024 20:07             1506306
rattler-build-0.18.0-r0.apk                        25-Oct-2024 20:07             6283766
rattler-build-bash-completion-0.18.0-r0.apk        25-Oct-2024 20:07                3704
rattler-build-doc-0.18.0-r0.apk                    25-Oct-2024 20:07                6885
rattler-build-fish-completion-0.18.0-r0.apk        25-Oct-2024 20:07                4830
rattler-build-zsh-completion-0.18.0-r0.apk         25-Oct-2024 20:07                5569
rauc-1.10.1-r0.apk                                 25-Oct-2024 20:07              155254
rauc-doc-1.10.1-r0.apk                             25-Oct-2024 20:07                4243
rauc-service-1.10.1-r0.apk                         25-Oct-2024 20:07                3811
razercfg-0.42-r7.apk                               25-Oct-2024 20:07               73474
razercfg-gui-0.42-r7.apk                           25-Oct-2024 20:07               19430
razercfg-openrc-0.42-r7.apk                        25-Oct-2024 20:07                1763
razercfg-pyc-0.42-r7.apk                           25-Oct-2024 20:07               36477
rclone-browser-1.8.0-r1.apk                        25-Oct-2024 20:07              326215
rdedup-3.2.1-r5.apk                                25-Oct-2024 20:07              850981
rdrview-0.1.3-r0.apk                               22-Feb-2025 19:23               26532
rdrview-doc-0.1.3-r0.apk                           22-Feb-2025 19:23                3782
reaction-2.2.1-r0.apk                              23-Sep-2025 19:46             1532884
reaction-openrc-2.2.1-r0.apk                       23-Sep-2025 19:46                1872
reaction-tools-2.2.1-r0.apk                        23-Sep-2025 19:46                4662
readosm-1.1.0-r3.apk                               14-May-2025 21:14               15199
readosm-dev-1.1.0-r3.apk                           14-May-2025 21:14               19761
reap-0.2-r0.apk                                    13-Sep-2025 13:07                4960
reap-doc-0.2-r0.apk                                13-Sep-2025 13:07                2729
reason-3.8.2-r2.apk                                25-Oct-2025 04:57            19244038
reason-rtop-3.8.2-r2.apk                           25-Oct-2025 04:57            25446354
reaver-wps-fork-t6x-1.6.6-r1.apk                   25-Oct-2024 20:07              380237
recoll-1.43.9-r0.apk                               28-Dec-2025 08:01             3255945
recoll-dev-1.43.9-r0.apk                           28-Dec-2025 08:01               56460
recoll-doc-1.43.9-r0.apk                           28-Dec-2025 08:01               34504
redhat-fonts-4.1.0-r1.apk                          08-Aug-2025 23:40              828403
redlib-0.36.0-r0.apk                               26-Oct-2025 14:33             2966461
refine-0.6.3-r0.apk                                20-Nov-2025 23:49               35034
refine-lang-0.6.3-r0.apk                           20-Nov-2025 23:49               32644
reg-0.16.1-r33.apk                                 04-Dec-2025 13:54             4812108
regal-0.36.1-r1.apk                                04-Dec-2025 13:54            12153811
regal-bash-completion-0.36.1-r1.apk                04-Dec-2025 13:54                6250
regal-fish-completion-0.36.1-r1.apk                04-Dec-2025 13:54                4431
regal-zsh-completion-0.36.1-r1.apk                 04-Dec-2025 13:54                4139
regclient-0.11.1-r0.apk                            08-Dec-2025 10:41            14366079
remake-1.5-r1.apk                                  25-Oct-2024 20:07              140543
remake-dev-1.5-r1.apk                              25-Oct-2024 20:07                2997
remake-doc-1.5-r1.apk                              25-Oct-2024 20:07              206492
remake-make-1.5-r1.apk                             25-Oct-2024 20:07                1570
remco-0.12.5-r5.apk                                04-Dec-2025 13:54             9937757
remco-doc-0.12.5-r5.apk                            04-Dec-2025 13:54                2311
remco-openrc-0.12.5-r5.apk                         04-Dec-2025 13:54                1742
remind-caldav-0.8.0-r4.apk                         25-Oct-2024 20:07               18108
remind-caldav-pyc-0.8.0-r4.apk                     25-Oct-2024 20:07                6288
repgrep-0.15.0-r0.apk                              25-Oct-2024 20:07             1254671
repgrep-bash-completion-0.15.0-r0.apk              25-Oct-2024 20:07                1652
repgrep-doc-0.15.0-r0.apk                          25-Oct-2024 20:07                6630
repgrep-fish-completion-0.15.0-r0.apk              25-Oct-2024 20:07                4223
repgrep-zsh-completion-0.15.0-r0.apk               25-Oct-2024 20:07                1660
repo-2.60-r0.apk                                   12-Dec-2025 19:49               17239
repo-doc-2.60-r0.apk                               12-Dec-2025 19:49               40537
repowerd-2023.07-r3.apk                            17-Feb-2025 12:07              858334
repowerd-openrc-2023.07-r3.apk                     17-Feb-2025 12:07                1712
reprotest-0.7.32-r0.apk                            02-Nov-2025 14:18               82212
reprotest-pyc-0.7.32-r0.apk                        02-Nov-2025 14:18              105389
reredirect-0.3-r0.apk                              25-Oct-2024 20:07                7875
reredirect-doc-0.3-r0.apk                          25-Oct-2024 20:07                2908
resources-1.9.1-r0.apk                             21-Nov-2025 09:55             2574083
resources-lang-1.9.1-r0.apk                        21-Nov-2025 09:55              215786
responder-3.1.7.0-r0.apk                           14-Oct-2025 14:54              765240
restart-services-0.17.0-r0.apk                     25-Oct-2024 20:07               11788
restart-services-doc-0.17.0-r0.apk                 25-Oct-2024 20:07                6024
restic.mk-0.4.0-r0.apk                             21-Nov-2024 03:03                2913
restinio-0.6.19-r1.apk                             14-Dec-2024 18:56                1261
restinio-dev-0.6.19-r1.apk                         14-Dec-2024 18:56              274277
rezolus-2.11.1-r3.apk                              25-Oct-2024 20:07              909078
rezolus-doc-2.11.1-r3.apk                          25-Oct-2024 20:07                3439
rezolus-openrc-2.11.1-r3.apk                       25-Oct-2024 20:07                2121
rgxg-0.1.2-r2.apk                                  25-Oct-2024 20:07               14864
rgxg-dev-0.1.2-r2.apk                              25-Oct-2024 20:07                3608
rgxg-doc-0.1.2-r2.apk                              25-Oct-2024 20:07               12612
rhasspy-nlu-0.4.0-r3.apk                           25-Oct-2024 20:07               44633
rhasspy-nlu-pyc-0.4.0-r3.apk                       25-Oct-2024 20:07               74613
riemann-cli-0.8.0-r2.apk                           25-Oct-2024 20:07              543190
rinetd-0.73-r0.apk                                 25-Oct-2024 20:07               13950
rinetd-doc-0.73-r0.apk                             25-Oct-2024 20:07               16819
rinetd-openrc-0.73-r0.apk                          25-Oct-2024 20:07                1731
river-luatile-0.1.4-r0.apk                         19-May-2025 12:03              267070
river-shifttags-0.2.1-r1.apk                       14-May-2025 21:14                6291
river-shifttags-doc-0.2.1-r1.apk                   14-May-2025 21:14                2425
rizin-0.8.1-r0.apk                                 30-Jun-2025 16:48             2787951
rizin-dev-0.8.1-r0.apk                             30-Jun-2025 16:48              330312
rizin-doc-0.8.1-r0.apk                             30-Jun-2025 16:48               19719
rizin-libs-0.8.1-r0.apk                            30-Jun-2025 16:48             5385457
rkdeveloptool-1.1.0-r2.apk                         26-Nov-2025 20:47               57467
rkdeveloptool-doc-1.1.0-r2.apk                     26-Nov-2025 20:47                3059
rkdeveloptool-udev-1.1.0-r2.apk                    26-Nov-2025 20:47                1824
rke-1.4.3-r20.apk                                  04-Dec-2025 13:54            20689935
rke-doc-1.4.3-r20.apk                              04-Dec-2025 13:54                3032
rmlint-2.10.2-r2.apk                               25-Oct-2024 20:07              144327
rmlint-doc-2.10.2-r2.apk                           25-Oct-2024 20:07               18236
rmlint-lang-2.10.2-r2.apk                          25-Oct-2024 20:07               19353
rmlint-shredder-2.10.2-r2.apk                      25-Oct-2024 20:07               98266
rmlint-shredder-pyc-2.10.2-r2.apk                  25-Oct-2024 20:07              127483
rmtfs-1.1.1-r1.apk                                 29-Dec-2025 19:59               12666
rmtfs-doc-1.1.1-r1.apk                             29-Dec-2025 19:59                2462
rmtfs-openrc-1.1.1-r1.apk                          29-Dec-2025 19:59                2172
rmtfs-systemd-1.1.1-r1.apk                         29-Dec-2025 19:59                1900
rmtfs-udev-1.1.1-r1.apk                            29-Dec-2025 19:59                1714
rocm-cmake-6.4.3-r0.apk                            18-Aug-2025 11:32               29121
rocm-cmake-doc-6.4.3-r0.apk                        18-Aug-2025 11:32                2481
rocm-core-6.4.3-r0.apk                             18-Aug-2025 11:32                7854
rocm-core-dev-6.4.3-r0.apk                         18-Aug-2025 11:32                6747
rocm-core-doc-6.4.3-r0.apk                         18-Aug-2025 11:32                2485
rofi-json-menu-0.2.0-r1.apk                        25-Oct-2024 20:07                4993
rofi-pass-2.0.2-r2.apk                             25-Oct-2024 20:07                9056
rofi-pass-doc-2.0.2-r2.apk                         25-Oct-2024 20:07                5073
roll-2.6.1-r1.apk                                  13-Oct-2025 15:43               12563
roll-bash-completion-2.6.1-r1.apk                  13-Oct-2025 15:43                1887
roll-doc-2.6.1-r1.apk                              13-Oct-2025 15:43               11548
ropgadget-7.7-r0.apk                               31-Dec-2025 14:35               26536
ropgadget-pyc-7.7-r0.apk                           31-Dec-2025 14:35               49588
rosdep-0.19.0-r6.apk                               25-Oct-2024 20:07               67719
rosdep-pyc-0.19.0-r6.apk                           25-Oct-2024 20:07              121851
rot8-1.0.0-r0.apk                                  28-Jul-2025 21:43              815051
rot8-doc-1.0.0-r0.apk                              28-Jul-2025 21:43                2275
rpg-cli-1.2.0-r0.apk                               25-Oct-2024 20:07              619184
rpicam-apps-1.10.1-r0.apk                          02-Dec-2025 13:00              440300
rpicam-apps-doc-1.10.1-r0.apk                      02-Dec-2025 13:00                2426
rss-email-0.5.1-r0.apk                             10-Aug-2025 11:21             2282812
rss-email-doc-0.5.1-r0.apk                         10-Aug-2025 11:21                6546
rsstail-2.2-r0.apk                                 13-Feb-2025 07:17                8614
rsstail-doc-2.2-r0.apk                             13-Feb-2025 07:17                2820
rt5-5.0.8-r0.apk                                   15-Jun-2025 04:44            17738550
rt6-6.0.1-r0.apk                                   12-Aug-2025 07:10            12858925
rtl-power-fftw-20200601-r4.apk                     25-Oct-2024 20:07               61147
rtl-power-fftw-doc-20200601-r4.apk                 25-Oct-2024 20:07                8352
rtl8192eu-src-5.11.2.3_git20251017-r0.apk          04-Dec-2025 13:54             2716022
rtl88x2bu-src-5.13.1_git20230711-r0.apk            25-Oct-2024 20:07             4189206
rtmidi-6.0.0-r0.apk                                25-Oct-2024 20:07               29434
rtmidi-dev-6.0.0-r0.apk                            25-Oct-2024 20:07               14433
rtptools-1.22-r2.apk                               25-Oct-2024 20:07               40888
rtptools-doc-1.22-r2.apk                           25-Oct-2024 20:07               12916
rtw89-src-7_p20230725-r0.apk                       25-Oct-2024 20:07              776949
ruby-ansi-1.5.0-r0.apk                             06-Jul-2025 18:24               26498
ruby-appraisal-2.5.0-r0.apk                        25-May-2025 23:52               11129
ruby-appraisal-doc-2.5.0-r0.apk                    25-May-2025 23:52                2366
ruby-benchmark-ips-2.14.0-r0.apk                   25-May-2025 23:54               14680
ruby-benchmark-ips-doc-2.14.0-r0.apk               25-May-2025 23:54                2321
ruby-build-20250925-r0.apk                         28-Sep-2025 15:45               95272
ruby-build-doc-20250925-r0.apk                     28-Sep-2025 15:45                4906
ruby-build-runtime-20250925-r0.apk                 28-Sep-2025 15:45                1341
ruby-byebug-12.0.0-r0.apk                          21-Oct-2025 04:12              125725
ruby-coderay-1.1.3-r0.apk                          25-May-2025 23:56               91205
ruby-coderay-doc-1.1.3-r0.apk                      25-May-2025 23:56                2342
ruby-crack-1.0.1-r0.apk                            21-Oct-2025 04:14                2382
ruby-crack-doc-1.0.1-r0.apk                        21-Oct-2025 04:14                2302
ruby-dry-inflector-1.2.0-r0.apk                    21-Oct-2025 04:16                8369
ruby-dry-inflector-doc-1.2.0-r0.apk                21-Oct-2025 04:16                2315
ruby-event_emitter-0.2.6-r0.apk                    26-May-2025 00:00                3150
ruby-event_emitter-doc-0.2.6-r0.apk                26-May-2025 00:00                2321
ruby-facter-4.10.0-r0.apk                          28-Jul-2025 02:04              223328
ruby-fast_gettext-3.1.0-r0.apk                     25-May-2025 23:58               22669
ruby-hashdiff-1.2.1-r0.apk                         21-Oct-2025 04:18                9167
ruby-hashdiff-doc-1.2.1-r0.apk                     21-Oct-2025 04:18                2304
ruby-hoe-4.5.0-r0.apk                              28-Dec-2025 21:34               29423
ruby-libguestfs-1.56.1-r0.apk                      24-Jul-2025 01:27              109228
ruby-mail-2.9.0-r0.apk                             24-Oct-2025 12:36              403861
ruby-minitest-autotest-1.2.0-r0.apk                15-Dec-2025 18:35               14610
ruby-minitest-focus-1.3.1-r0.apk                   06-Jul-2025 18:24                5282
ruby-minitest-power_assert-0.3.1-r0.apk            06-Jul-2025 18:24                2282
ruby-minitest-proveit-1.0.0-r0.apk                 06-Jul-2025 18:24                4883
ruby-minitest-reporters-1.7.1-r0.apk               06-Jul-2025 18:24               20570
ruby-minitest-server-1.0.9-r0.apk                  21-Nov-2025 17:53                5524
ruby-path_expander-2.0.0-r0.apk                    15-Dec-2025 18:35                6790
ruby-ruby-progressbar-1.13.0-r0.apk                06-Jul-2025 18:24               25514
ruff-lsp-0.0.62-r0.apk                             06-Mar-2025 22:31               21785
ruff-lsp-pyc-0.0.62-r0.apk                         06-Mar-2025 22:31               35823
runst-0.2.0-r0.apk                                 14-Nov-2025 21:13             2069163
runst-doc-0.2.0-r0.apk                             14-Nov-2025 21:13                8008
runu-0.12.3-r1.apk                                 04-Dec-2025 13:54            14839915
ruri-3.9.3-r0.apk                                  27-Oct-2025 03:05              139347
ruri-doc-3.9.3-r0.apk                              27-Oct-2025 03:05                2291
rustdesk-server-1.1.10.3-r0.apk                    25-Oct-2024 20:07             2531529
rustdesk-server-openrc-1.1.10.3-r0.apk             25-Oct-2024 20:07                2276
rustic-0.9.3-r0.apk                                25-Oct-2024 20:07             6512452
rustic-bash-completion-0.9.3-r0.apk                25-Oct-2024 20:07                8817
rustic-fish-completion-0.9.3-r0.apk                25-Oct-2024 20:07               17070
rustic-zsh-completion-0.9.3-r0.apk                 25-Oct-2024 20:07               13343
rustical-0.11.9-r0.apk                             01-Jan-2026 05:35             4672608
rustls-ffi-0.15.0-r0.apk                           26-Dec-2025 22:37              966087
rustls-ffi-dev-0.15.0-r0.apk                       26-Dec-2025 22:37             2279235
ruuvi-prometheus-0.1.9-r7.apk                      04-Dec-2025 13:54             3516491
ruuvi-prometheus-openrc-0.1.9-r7.apk               04-Dec-2025 13:54                1716
rvlprog-0.91-r2.apk                                25-Oct-2024 20:07               25473
ry-0.5.2-r1.apk                                    25-Oct-2024 20:07                4734
ry-bash-completion-0.5.2-r1.apk                    25-Oct-2024 20:07                2001
ry-zsh-completion-0.5.2-r1.apk                     25-Oct-2024 20:07                2311
rygel-45.0-r1.apk                                  18-Nov-2025 18:30              758107
rygel-dev-45.0-r1.apk                              18-Nov-2025 18:30               43692
rygel-doc-45.0-r1.apk                              18-Nov-2025 18:30                9905
rygel-lang-45.0-r1.apk                             18-Nov-2025 18:30              597648
rygel-systemd-45.0-r1.apk                          18-Nov-2025 18:30                1786
s-dkim-sign-0.6.2-r0.apk                           25-Oct-2024 20:07               53571
s-dkim-sign-doc-0.6.2-r0.apk                       25-Oct-2024 20:07                8726
s-postgray-0.8.3-r0.apk                            25-Oct-2024 20:07               43722
s-postgray-doc-0.8.3-r0.apk                        25-Oct-2024 20:07                9815
s5cmd-2.3.0-r8.apk                                 04-Dec-2025 13:54             5414098
saait-0.8-r0.apk                                   25-Oct-2024 20:07                7282
saait-doc-0.8-r0.apk                               25-Oct-2024 20:07               13009
sacc-1.07-r1.apk                                   13-Sep-2025 01:00               15033
sacc-doc-1.07-r1.apk                               13-Sep-2025 01:00                2944
sandbar-0.2_git20250909-r0.apk                     21-Dec-2025 14:37               15420
satellite-1.0.0-r33.apk                            04-Dec-2025 13:54             2381088
satellite-doc-1.0.0-r33.apk                        04-Dec-2025 13:54                3095
satellite-openrc-1.0.0-r33.apk                     04-Dec-2025 13:54                1946
sauerbraten-2020.12.29-r4.apk                      20-Feb-2025 18:49           979077497
sbase-0_git20210730-r3.apk                         25-Oct-2024 20:07              122973
sbase-doc-0_git20210730-r3.apk                     25-Oct-2024 20:07               59637
sblg-0.5.11-r0.apk                                 25-Oct-2024 20:07               37401
sblg-doc-0.5.11-r0.apk                             25-Oct-2024 20:07             1410347
sblim-sfcc-2.2.8-r3.apk                            25-Oct-2024 20:07               52397
sblim-sfcc-dev-2.2.8-r3.apk                        25-Oct-2024 20:07               22793
sblim-sfcc-doc-2.2.8-r3.apk                        25-Oct-2024 20:07               35408
sblim-wbemcli-1.6.3-r1.apk                         25-Oct-2024 20:07               97232
sblim-wbemcli-doc-1.6.3-r1.apk                     25-Oct-2024 20:07                4637
sc-controller-0.5.5-r0.apk                         14-Dec-2025 08:56             1327824
sc-controller-pyc-0.5.5-r0.apk                     14-Dec-2025 08:56              833814
sc-controller-udev-0.5.5-r0.apk                    14-Dec-2025 08:56                2109
sc3-plugins-3.13.0-r2.apk                          08-Feb-2025 23:43             9894120
scalingo-1.30.0-r15.apk                            04-Dec-2025 13:54             5560747
scenefx-0.4.1-r0.apk                               20-Dec-2025 23:13               56913
scenefx-dev-0.4.1-r0.apk                           20-Dec-2025 23:13               11125
scenefx-doc-0.4.1-r0.apk                           20-Dec-2025 23:13                2329
schismtracker-20251014-r0.apk                      24-Oct-2025 23:50              457511
schismtracker-doc-20251014-r0.apk                  24-Oct-2025 23:50                6488
scooper-1.3-r1.apk                                 25-Oct-2024 20:07              485732
scooper-doc-1.3-r1.apk                             25-Oct-2024 20:07                2651
screenkey-1.5-r7.apk                               24-Jul-2025 01:27               78195
screenkey-doc-1.5-r7.apk                           24-Jul-2025 01:27               11237
screenkey-pyc-1.5-r7.apk                           24-Jul-2025 01:27               74898
sct-2018.12.18-r1.apk                              25-Oct-2024 20:07                3587
sdl3_image-3.2.4-r0.apk                            24-Mar-2025 20:22               76201
sdl3_image-dev-3.2.4-r0.apk                        24-Mar-2025 20:22               12339
sdl3_image-doc-3.2.4-r0.apk                        24-Mar-2025 20:22                2111
sdparm-1.12-r1.apk                                 25-Oct-2024 20:07              146250
sdparm-doc-1.12-r1.apk                             25-Oct-2024 20:07               19791
seaweedfs-3.93-r4.apk                              04-Dec-2025 13:54            26884246
seaweedfs-doc-3.93-r4.apk                          04-Dec-2025 13:54               14093
seaweedfs-openrc-3.93-r4.apk                       04-Dec-2025 13:54                1956
secsipidx-1.3.2-r17.apk                            04-Dec-2025 13:54             2906659
secsipidx-dev-1.3.2-r17.apk                        04-Dec-2025 13:54             5158106
secsipidx-libs-1.3.2-r17.apk                       04-Dec-2025 13:54             2600912
sedutil-1.15.1-r1.apk                              25-Oct-2024 20:07              190257
sedutil-doc-1.15.1-r1.apk                          25-Oct-2024 20:07                3140
semaphoreui-2.16.37-r1.apk                         04-Dec-2025 13:54            16617071
semaphoreui-bash-completion-2.16.37-r1.apk         04-Dec-2025 13:54                6244
semaphoreui-doc-2.16.37-r1.apk                     04-Dec-2025 13:54                2378
semaphoreui-fish-completion-2.16.37-r1.apk         04-Dec-2025 13:54                4426
semaphoreui-openrc-2.16.37-r1.apk                  04-Dec-2025 13:54                2144
semaphoreui-zsh-completion-2.16.37-r1.apk          04-Dec-2025 13:54                4138
sentinel-minipot-2.3.0-r1.apk                      25-Oct-2024 20:07               44528
sentinel-minipot-openrc-2.3.0-r1.apk               25-Oct-2024 20:07                2637
sentinel-proxy-2.1.0-r1.apk                        13-Jun-2025 14:20               40211
sentinel-proxy-dev-2.1.0-r1.apk                    13-Jun-2025 14:20                4459
sentinel-proxy-openrc-2.1.0-r1.apk                 13-Jun-2025 14:20                2300
serialdv-1.1.5-r0.apk                              24-Oct-2025 20:26                6588
serialdv-dev-1.1.5-r0.apk                          24-Oct-2025 20:26                5474
serialdv-libs-1.1.5-r0.apk                         24-Oct-2025 20:26               61502
serie-0.5.5-r0.apk                                 10-Dec-2025 10:25              897085
serie-doc-0.5.5-r0.apk                             10-Dec-2025 10:25                8400
setroot-2.0.2-r1.apk                               25-Oct-2024 20:07               11514
setroot-doc-2.0.2-r1.apk                           25-Oct-2024 20:07                4552
sfizz-1.2.3-r1.apk                                 02-Oct-2025 13:20             1708364
sfizz-dev-1.2.3-r1.apk                             02-Oct-2025 13:20               15096
sfizz-doc-1.2.3-r1.apk                             02-Oct-2025 13:20                3088
sflowtool-6.02-r0.apk                              25-Oct-2024 20:07               45105
sflowtool-doc-6.02-r0.apk                          25-Oct-2024 20:07                9554
sfwbar-1.0_beta161-r0.apk                          31-Jul-2025 13:29              271636
sfwbar-doc-1.0_beta161-r0.apk                      31-Jul-2025 13:29               27139
sgt-puzzles-0_git20230310-r2.apk                   25-Oct-2024 20:07             2801500
shc-4.0.3-r2.apk                                   25-Oct-2024 20:07               19015
shellinabox-2.21-r3.apk                            25-Oct-2024 20:07              123776
shellinabox-doc-2.21-r3.apk                        25-Oct-2024 20:07               19823
shellinabox-openrc-2.21-r3.apk                     25-Oct-2024 20:07                3607
shine-3.1.1-r0.apk                                 25-Oct-2024 20:07               56004
shipments-0.3.0-r0.apk                             25-Oct-2024 20:07               24034
shntool-3.0.10-r5.apk                              25-Feb-2025 10:17               59897
shntool-doc-3.0.10-r5.apk                          25-Feb-2025 10:17               10520
shutdown-clear-machine-id-1.0.0-r0.apk             25-Oct-2024 20:07                1836
sigma-0.23.1-r1.apk                                25-Oct-2024 20:07              242462
sigma-pyc-0.23.1-r1.apk                            25-Oct-2024 20:07              348176
sigrok-cli-0.7.2-r0.apk                            25-Oct-2024 20:07               39063
sigrok-cli-doc-0.7.2-r0.apk                        25-Oct-2024 20:07                8184
sigrok-firmware-fx2lafw-bin-0.1.7-r0.apk           25-Oct-2024 20:07               13384
silc-client-1.1.11-r18.apk                         30-Jun-2025 07:14              816812
silc-client-doc-1.1.11-r18.apk                     30-Jun-2025 07:14               84090
simgear-2024.1.1-r0.apk                            05-Mar-2025 00:14             2181195
simgear-dev-2024.1.1-r0.apk                        05-Mar-2025 00:14              413779
simh-3.11.1-r1.apk                                 25-Oct-2024 20:07             2921970
simp1e-cursors-0_git20250312-r0.apk                13-Mar-2025 12:27              457395
simp1e-cursors-adw-0_git20250312-r0.apk            13-Mar-2025 12:27              489265
simp1e-cursors-adw-dark-0_git20250312-r0.apk       13-Mar-2025 12:27              493560
simp1e-cursors-adw-dark-left-0_git20250312-r0.apk  13-Mar-2025 12:27              496609
simp1e-cursors-adw-left-0_git20250312-r0.apk       13-Mar-2025 12:27              494601
simp1e-cursors-breeze-0_git20250312-r0.apk         13-Mar-2025 12:27              516346
simp1e-cursors-breeze-dark-0_git20250312-r0.apk    13-Mar-2025 12:27              532443
simp1e-cursors-breeze-dark-left-0_git20250312-r..> 13-Mar-2025 12:27              538939
simp1e-cursors-breeze-left-0_git20250312-r0.apk    13-Mar-2025 12:27              521536
simp1e-cursors-catppuccin-frappe-0_git20250312-..> 13-Mar-2025 12:27              547861
simp1e-cursors-catppuccin-frappe-left-0_git2025..> 13-Mar-2025 12:27              560589
simp1e-cursors-catppuccin-latte-0_git20250312-r..> 13-Mar-2025 12:27              540221
simp1e-cursors-catppuccin-latte-left-0_git20250..> 13-Mar-2025 12:27              550235
simp1e-cursors-catppuccin-macchiato-0_git202503..> 13-Mar-2025 12:27              545435
simp1e-cursors-catppuccin-macchiato-left-0_git2..> 13-Mar-2025 12:27              557501
simp1e-cursors-catppuccin-mocha-0_git20250312-r..> 13-Mar-2025 12:27              542604
simp1e-cursors-catppuccin-mocha-left-0_git20250..> 13-Mar-2025 12:27              552886
simp1e-cursors-dark-0_git20250312-r0.apk           13-Mar-2025 12:27              495528
simp1e-cursors-dark-left-0_git20250312-r0.apk      13-Mar-2025 12:27              501308
simp1e-cursors-doc-0_git20250312-r0.apk            13-Mar-2025 12:27               14159
simp1e-cursors-gruvbox-dark-0_git20250312-r0.apk   13-Mar-2025 12:27              537582
simp1e-cursors-gruvbox-dark-left-0_git20250312-..> 13-Mar-2025 12:27              549836
simp1e-cursors-gruvbox-light-0_git20250312-r0.apk  13-Mar-2025 12:27              529525
simp1e-cursors-gruvbox-light-left-0_git20250312..> 13-Mar-2025 12:27              539240
simp1e-cursors-left-0_git20250312-r0.apk           13-Mar-2025 12:27              459268
simp1e-cursors-mix-dark-0_git20250312-r0.apk       13-Mar-2025 12:27              498407
simp1e-cursors-mix-dark-left-0_git20250312-r0.apk  13-Mar-2025 12:27              503995
simp1e-cursors-mix-light-0_git20250312-r0.apk      13-Mar-2025 12:27              460333
simp1e-cursors-mix-light-left-0_git20250312-r0.apk 13-Mar-2025 12:27              462265
simp1e-cursors-nord-dark-0_git20250312-r0.apk      13-Mar-2025 12:27              548483
simp1e-cursors-nord-dark-left-0_git20250312-r0.apk 13-Mar-2025 12:27              561724
simp1e-cursors-nord-light-0_git20250312-r0.apk     13-Mar-2025 12:27              530306
simp1e-cursors-nord-light-left-0_git20250312-r0..> 13-Mar-2025 12:27              537274
simp1e-cursors-rose-pine-0_git20250312-r0.apk      13-Mar-2025 12:27              546288
simp1e-cursors-rose-pine-dawn-0_git20250312-r0.apk 13-Mar-2025 12:27              551319
simp1e-cursors-rose-pine-dawn-left-0_git2025031..> 13-Mar-2025 12:27              563806
simp1e-cursors-rose-pine-left-0_git20250312-r0.apk 13-Mar-2025 12:27              558210
simp1e-cursors-rose-pine-moon-0_git20250312-r0.apk 13-Mar-2025 12:27              550801
simp1e-cursors-rose-pine-moon-left-0_git2025031..> 13-Mar-2025 12:27              563389
simp1e-cursors-solarized-dark-0_git20250312-r0.apk 13-Mar-2025 12:27              536265
simp1e-cursors-solarized-dark-left-0_git2025031..> 13-Mar-2025 12:27              547849
simp1e-cursors-solarized-light-0_git20250312-r0..> 13-Mar-2025 12:27              543812
simp1e-cursors-solarized-light-left-0_git202503..> 13-Mar-2025 12:27              555052
simp1e-cursors-tokyo-night-0_git20250312-r0.apk    13-Mar-2025 12:27              542632
simp1e-cursors-tokyo-night-left-0_git20250312-r..> 13-Mar-2025 12:27              553932
simp1e-cursors-tokyo-night-light-0_git20250312-..> 13-Mar-2025 12:27              532316
simp1e-cursors-tokyo-night-light-left-0_git2025..> 13-Mar-2025 12:27              539544
simp1e-cursors-tokyo-night-storm-0_git20250312-..> 13-Mar-2025 12:27              546743
simp1e-cursors-tokyo-night-storm-left-0_git2025..> 13-Mar-2025 12:27              558731
simp1e-cursors-zenburn-0_git20250312-r0.apk        13-Mar-2025 12:27              541957
simp1e-cursors-zenburn-left-0_git20250312-r0.apk   13-Mar-2025 12:27              553113
simpleble-0.10.3-r0.apk                            15-Jul-2025 08:22                1257
simpleble-dev-0.10.3-r0.apk                        15-Jul-2025 08:22               28599
singular-4.4.1_p2-r1.apk                           26-Nov-2025 08:23            10543243
singular-dev-4.4.1_p2-r1.apk                       26-Nov-2025 08:23              367789
singular-doc-4.4.1_p2-r1.apk                       26-Nov-2025 08:23             1415576
singular-emacs-4.4.1_p2-r1.apk                     26-Nov-2025 08:23              104021
singular-static-4.4.1_p2-r1.apk                    26-Nov-2025 08:23             5598405
sipexer-1.2.0-r8.apk                               04-Dec-2025 13:54             2981508
sipgrep-2.2.0-r1.apk                               25-Oct-2024 20:07               26647
siril-1.2.6-r5.apk                                 27-Sep-2025 21:09             2823445
siril-doc-1.2.6-r5.apk                             27-Sep-2025 21:09               18198
siril-lang-1.2.6-r5.apk                            27-Sep-2025 21:09             1629677
sish-2.16.1-r10.apk                                04-Dec-2025 13:54             8313103
sish-openrc-2.16.1-r10.apk                         04-Dec-2025 13:54                1952
sloccount-2.26-r3.apk                              25-Oct-2024 20:07               60073
sloccount-doc-2.26-r3.apk                          25-Oct-2024 20:07               60794
slurm-0.4.4-r0.apk                                 25-Oct-2024 20:07               14860
slurm-doc-0.4.4-r0.apk                             25-Oct-2024 20:07                2314
smassh-3.1.6-r0.apk                                21-Nov-2024 02:56               74141
smassh-pyc-3.1.6-r0.apk                            21-Nov-2024 02:56               71918
smile-2.11.0-r0.apk                                21-Dec-2025 11:40              894291
smile-lang-2.11.0-r0.apk                           21-Dec-2025 11:40               28896
smplxmpp-0.9.3-r5.apk                              12-Oct-2025 11:34              144061
smplxmpp-doc-0.9.3-r5.apk                          12-Oct-2025 11:34               25431
snapper-0.13.0-r0.apk                              16-Sep-2025 18:45              948333
snapper-bash-completion-0.13.0-r0.apk              16-Sep-2025 18:45                3448
snapper-dev-0.13.0-r0.apk                          16-Sep-2025 18:45               10990
snapper-doc-0.13.0-r0.apk                          16-Sep-2025 18:45               25867
snapper-lang-0.13.0-r0.apk                         16-Sep-2025 18:45              224205
snapper-zsh-completion-0.13.0-r0.apk               16-Sep-2025 18:45                3654
snapraid-13.0-r0.apk                               31-Oct-2025 21:40              294296
snapraid-doc-13.0-r0.apk                           31-Oct-2025 21:40               19440
snippets-ls-0.0.4_git20240617-r10.apk              04-Dec-2025 13:54             1376249
snore-0.3.1-r0.apk                                 25-Oct-2024 20:07                5012
snore-doc-0.3.1-r0.apk                             25-Oct-2024 20:07                3121
so-0.4.10-r0.apk                                   25-Oct-2024 20:07             2171151
soapy-bladerf-0.4.2-r0.apk                         31-Dec-2024 01:11               49673
solanum-6.0.0-r0.apk                               10-Apr-2025 12:58              269161
solanum-lang-6.0.0-r0.apk                          10-Apr-2025 12:58               48459
solarus-engine-1.7.0-r2.apk                        24-Jul-2025 01:27             1995169
solarus-engine-doc-1.7.0-r2.apk                    24-Jul-2025 01:27                3429
somebar-1.0.3-r0.apk                               25-Oct-2024 20:07               34761
somebar-doc-1.0.3-r0.apk                           25-Oct-2024 20:07                2481
sonicradio-0.8.4-r2.apk                            04-Dec-2025 13:54             3375778
sopwith-2.5.0-r0.apk                               25-Oct-2024 20:07               49318
sopwith-doc-2.5.0-r0.apk                           25-Oct-2024 20:07               15226
sos-0.8-r36.apk                                    04-Dec-2025 13:54             2888792
soundconverter-4.1.1-r0.apk                        29-Jul-2025 13:12              168460
soundconverter-doc-4.1.1-r0.apk                    29-Jul-2025 13:12                4831
soundconverter-lang-4.1.1-r0.apk                   29-Jul-2025 13:12              109467
soundconverter-pyc-4.1.1-r0.apk                    29-Jul-2025 13:12               78477
spacectl-1.12.0-r6.apk                             04-Dec-2025 13:54             5942784
spacectl-bash-completion-1.12.0-r6.apk             04-Dec-2025 13:54                2101
spacectl-doc-1.12.0-r6.apk                         04-Dec-2025 13:54                2345
spacectl-fish-completion-1.12.0-r6.apk             04-Dec-2025 13:54                7231
spacectl-zsh-completion-1.12.0-r6.apk              04-Dec-2025 13:54                1854
spark-2.8.3-r1.apk                                 25-Oct-2024 20:07            30332738
speedcrunch-0.12-r3.apk                            25-Oct-2024 20:07             1135481
speedtest-5.2.5-r1.apk                             25-Oct-2024 20:07              259546
speedtest-doc-5.2.5-r1.apk                         25-Oct-2024 20:07               18827
speedtest-examples-5.2.5-r1.apk                    25-Oct-2024 20:07               13265
speedtest-go-1.1.5-r20.apk                         04-Dec-2025 13:54             5700371
speedtest-go-doc-1.1.5-r20.apk                     04-Dec-2025 13:54                4618
speedtest-go-openrc-1.1.5-r20.apk                  04-Dec-2025 13:54                1779
speedtest_exporter-0.3.2-r20.apk                   04-Dec-2025 13:54             4099000
speedtest_exporter-openrc-0.3.2-r20.apk            04-Dec-2025 13:54                1901
spice-html5-0.3.0-r1.apk                           25-Oct-2024 20:07              448977
spike-1.1.0-r0.apk                                 25-Oct-2024 20:07             1038281
spnavcfg-1.1-r0.apk                                25-Oct-2024 20:07               36005
spotify-tui-0.25.0-r2.apk                          25-Oct-2024 20:07             1951155
spread-sheet-widget-0.10-r0.apk                    25-Oct-2024 20:07               43220
spread-sheet-widget-dbg-0.10-r0.apk                25-Oct-2024 20:07              198439
spread-sheet-widget-dev-0.10-r0.apk                25-Oct-2024 20:07              349589
spread-sheet-widget-doc-0.10-r0.apk                25-Oct-2024 20:07                4751
spreadtrum_flash-1.20240815-r0.apk                 14-May-2025 21:14               34453
spvm-errno-0.093-r1.apk                            30-Jun-2025 07:14               15810
spvm-errno-doc-0.093-r1.apk                        30-Jun-2025 07:14                6148
spvm-math-1.006-r1.apk                             30-Jun-2025 07:14               18255
spvm-math-doc-1.006-r1.apk                         30-Jun-2025 07:14                7102
spvm-mime-base64-1.003-r1.apk                      30-Jun-2025 07:14               13751
spvm-mime-base64-doc-1.003-r1.apk                  30-Jun-2025 07:14                5611
spvm-thread-0.003-r1.apk                           30-Jun-2025 07:14               10835
spvm-thread-doc-0.003-r1.apk                       30-Jun-2025 07:14                6111
sqlar-0_git20180107-r1.apk                         25-Oct-2024 20:07               15126
sqlar-doc-0_git20180107-r1.apk                     25-Oct-2024 20:07                3387
sqliteodbc-0.99991-r0.apk                          25-Oct-2024 20:07               91266
sqlmap-1.9.12-r0.apk                               12-Dec-2025 20:05             7073016
sqlmap-pyc-1.9.12-r0.apk                           12-Dec-2025 20:05             1225977
sqm-scripts-1.6.0-r0.apk                           25-Oct-2024 20:07               20937
sqruff-0.25.26-r0.apk                              13-Apr-2025 20:35             2247883
sqruff-doc-0.25.26-r0.apk                          13-Apr-2025 20:35                8851
squeak-vm-4.10.2.2614-r2.apk                       09-Oct-2025 12:30              548610
squeak-vm-doc-4.10.2.2614-r2.apk                   09-Oct-2025 12:30               12641
srain-1.8.1-r0.apk                                 26-Jun-2025 18:35              155452
srain-lang-1.8.1-r0.apk                            26-Jun-2025 18:35               35952
sregex-0.0.1-r1.apk                                25-Oct-2024 20:07               19597
sregex-dev-0.0.1-r1.apk                            25-Oct-2024 20:07               24221
ssdfs-tools-4.09-r0.apk                            25-Oct-2024 20:07              112054
ssdfs-tools-dev-4.09-r0.apk                        25-Oct-2024 20:07               18902
ssh-get-id-0.2.0-r0.apk                            15-Dec-2025 03:11             2484058
ssh-get-id-doc-0.2.0-r0.apk                        15-Dec-2025 03:11                2323
ssh-honeypot-0.1.1-r1.apk                          25-Oct-2024 20:07                8499
ssh-honeypot-openrc-0.1.1-r1.apk                   25-Oct-2024 20:07                2105
ssh-studio-1.3.1-r0.apk                            12-Oct-2025 20:35              207232
ssh-studio-lang-1.3.1-r0.apk                       12-Oct-2025 20:35                1921
ssh-studio-pyc-1.3.1-r0.apk                        12-Oct-2025 20:35              109111
ssh-tools-1.8-r0.apk                               25-Oct-2024 20:07               26191
sshm-1.9.0-r1.apk                                  04-Dec-2025 13:54             4089898
sshm-doc-1.9.0-r1.apk                              04-Dec-2025 13:54                2299
sshs-4.7.2-r0.apk                                  23-Apr-2025 22:22              754334
sshsrv-1.0-r17.apk                                 04-Dec-2025 13:54             1027288
sshuttle-1.1.2-r0.apk                              25-Oct-2024 20:07               63743
sshuttle-doc-1.1.2-r0.apk                          25-Oct-2024 20:07                8681
sshuttle-pyc-1.1.2-r0.apk                          25-Oct-2024 20:07              103118
sssd-2.11.1-r2.apk                                 20-Nov-2025 22:15             2190884
sssd-dev-2.11.1-r2.apk                             20-Nov-2025 22:15               15162
sssd-openrc-2.11.1-r2.apk                          20-Nov-2025 22:15                1718
ssss-0.5.7-r0.apk                                  25-Oct-2024 20:07               11943
ssss-doc-0.5.7-r0.apk                              25-Oct-2024 20:07                3418
sstp-client-1.0.20-r3.apk                          24-Oct-2025 02:29               37923
sstp-client-dev-1.0.20-r3.apk                      24-Oct-2025 02:29                5351
sstp-client-doc-1.0.20-r3.apk                      24-Oct-2025 02:29                4976
stalwart-cli-0.15.3-r0.apk                         01-Jan-2026 00:48             2030187
stalwart-mail-0.15.3-r0.apk                        01-Jan-2026 00:48            16740914
stalwart-mail-openrc-0.15.3-r0.apk                 01-Jan-2026 00:48                2122
stam-0.12.2-r0.apk                                 22-Sep-2025 12:10             1761743
starfighter-2.4-r0.apk                             25-Oct-2024 20:07            50197785
starfighter-doc-2.4-r0.apk                         25-Oct-2024 20:07               22093
startup-2.0.3-r5.apk                               25-Oct-2024 20:07              532243
startup-bridge-dconf-2.0.3-r5.apk                  25-Oct-2024 20:07               38652
startup-bridge-udev-2.0.3-r5.apk                   25-Oct-2024 20:07               38161
startup-dev-2.0.3-r5.apk                           25-Oct-2024 20:07                5982
startup-doc-2.0.3-r5.apk                           25-Oct-2024 20:07               48736
startup-fish-completion-2.0.3-r5.apk               25-Oct-2024 20:07                5512
startup-lang-2.0.3-r5.apk                          25-Oct-2024 20:07               16946
startup-tools-2.0.3-r5.apk                         25-Oct-2024 20:07               13634
stayrtr-0.6.3-r1.apk                               04-Dec-2025 13:54            11342569
stayrtr-openrc-0.6.3-r1.apk                        04-Dec-2025 13:54                2024
steamguard-cli-0.17.1-r0.apk                       15-Jul-2025 08:22             3285317
steamguard-cli-bash-completion-0.17.1-r0.apk       15-Jul-2025 08:22                2964
steamguard-cli-zsh-completion-0.17.1-r0.apk        15-Jul-2025 08:22                4327
steghide-0.5.1.1-r0.apk                            25-Oct-2024 20:07              138360
steghide-doc-0.5.1.1-r0.apk                        25-Oct-2024 20:07               14051
stern-1.33.0-r1.apk                                04-Dec-2025 13:54            19211693
stern-bash-completion-1.33.0-r1.apk                04-Dec-2025 13:54                5983
stern-fish-completion-1.33.0-r1.apk                04-Dec-2025 13:54                4422
stern-zsh-completion-1.33.0-r1.apk                 04-Dec-2025 13:54                4140
sthttpd-2.27.1-r2.apk                              25-Oct-2024 20:07               64289
sthttpd-doc-2.27.1-r2.apk                          25-Oct-2024 20:07               18661
sthttpd-openrc-2.27.1-r2.apk                       25-Oct-2024 20:07                1998
stockfish-17-r0.apk                                03-Mar-2025 22:42            64777006
stone-soup-0.33.1-r0.apk                           26-Dec-2025 14:50            30509406
stw-0.3-r0.apk                                     25-Oct-2024 20:07                7518
stw-doc-0.3-r0.apk                                 25-Oct-2024 20:07                2591
sublime-music-0.12.0-r1.apk                        25-Oct-2024 20:07              194061
sublime-music-pyc-0.12.0-r1.apk                    25-Oct-2024 20:07              309430
subliminal-2.4.0-r0.apk                            23-Nov-2025 23:18               91928
subliminal-pyc-2.4.0-r0.apk                        23-Nov-2025 23:18              183269
sudo-ldap-1.9.17_p1-r0.apk                         01-Jul-2025 18:57              882410
supercollider-3.13.0-r6.apk                        08-Feb-2025 23:43             7767180
supercollider-dev-3.13.0-r6.apk                    08-Feb-2025 23:43               40145
supermin-5.2.2-r2.apk                              25-Oct-2024 20:07              517253
supermin-doc-5.2.2-r2.apk                          25-Oct-2024 20:07                9587
surf-2.1-r3.apk                                    25-Oct-2024 20:07               22003
surf-doc-2.1-r3.apk                                25-Oct-2024 20:07                4745
surfraw-2.3.0-r0.apk                               25-Oct-2024 20:07               80596
surfraw-doc-2.3.0-r0.apk                           25-Oct-2024 20:07               18106
suru-icon-theme-2025.05.0-r0.apk                   14-May-2025 21:14             3012093
svgbob-0.7.6-r0.apk                                01-Feb-2025 19:55              483608
svls-0.2.14-r0.apk                                 13-Dec-2025 03:01             3708005
svls-doc-0.2.14-r0.apk                             13-Dec-2025 03:01                2283
swaks-20240103.0-r0.apk                            25-Oct-2024 20:07               67662
swaks-doc-20240103.0-r0.apk                        25-Oct-2024 20:07               50818
swappy-1.7.1-r0.apk                                21-Aug-2025 23:29               27247
swappy-doc-1.7.1-r0.apk                            21-Aug-2025 23:29                3906
swappy-lang-1.7.1-r0.apk                           21-Aug-2025 23:29                3889
sway-audio-idle-inhibit-0.1.2-r0.apk               25-Oct-2024 20:07                9661
swayhide-0.2.1-r2.apk                              25-Oct-2024 20:07              265349
swhkd-1.2.1-r0.apk                                 25-Oct-2024 20:07             1110461
swhkd-doc-1.2.1-r0.apk                             25-Oct-2024 20:07                6321
swi-prolog-9.2.9-r0.apk                            21-Dec-2024 10:08             4835125
swi-prolog-doc-9.2.9-r0.apk                        21-Dec-2024 10:08             2162684
swi-prolog-pyc-9.2.9-r0.apk                        21-Dec-2024 10:08               22991
swi-prolog-xpce-9.2.9-r0.apk                       21-Dec-2024 10:08              943962
swi-prolog-xpce-doc-9.2.9-r0.apk                   21-Dec-2024 10:08             1088909
sxcs-1.1.0-r0.apk                                  25-Oct-2024 20:07                8824
sxcs-doc-1.1.0-r0.apk                              25-Oct-2024 20:07                2702
syd-tui-0.2.2-r0.apk                               07-Dec-2025 22:33              426631
sydbox-3.45.2-r0.apk                               03-Dec-2025 02:48             5195920
sydbox-doc-3.45.2-r0.apk                           03-Dec-2025 02:48              169258
sydbox-oci-3.45.2-r0.apk                           03-Dec-2025 02:48             3173340
sydbox-syd-3.45.2-r0.apk                           03-Dec-2025 02:48             2220187
sydbox-test-3.45.2-r0.apk                          03-Dec-2025 02:48             2011010
sydbox-utils-3.45.2-r0.apk                         03-Dec-2025 02:48             6848519
sydbox-vim-3.45.2-r0.apk                           03-Dec-2025 02:48                7385
sylpheed-imap-notify-1.1.0-r2.apk                  25-Oct-2024 20:07                8010
symbiyosys-0.36-r0.apk                             25-Oct-2024 20:07               38453
symengine-0.12.0-r0.apk                            25-Oct-2024 20:07             3671415
symlinks-1.4.3-r0.apk                              22-Apr-2025 21:28                6174
symlinks-doc-1.4.3-r0.apk                          22-Apr-2025 21:28                3945
sympow-2.023.7-r2.apk                              02-May-2025 14:16             1903295
sympow-doc-2.023.7-r2.apk                          02-May-2025 14:16                3184
synapse-bt-1.0-r4.apk                              25-Oct-2024 20:07             1163047
synapse-bt-cli-1.0-r4.apk                          25-Oct-2024 20:07             1042066
synapse-bt-openrc-1.0-r4.apk                       25-Oct-2024 20:07                1864
syncthing-gtk-0.9.4.5-r2.apk                       25-Oct-2024 20:07              450514
syncthing-gtk-doc-0.9.4.5-r2.apk                   25-Oct-2024 20:07                2256
syncthing-gtk-pyc-0.9.4.5-r2.apk                   25-Oct-2024 20:07              225891
syncwhen-0.3-r0.apk                                01-Nov-2025 17:26                5413
syncwhen-systemd-0.3-r0.apk                        01-Nov-2025 17:26                1813
t2sz-1.1.2-r0.apk                                  25-Oct-2024 20:07                8640
tachyon-0.99_beta6-r2.apk                          10-Dec-2025 14:13               91340
tachyon-scenes-0.99_beta6-r2.apk                   10-Dec-2025 14:13             2023976
tailspin-5.5.0-r0.apk                              28-Oct-2025 06:57             1220016
tailspin-bash-completion-5.5.0-r0.apk              28-Oct-2025 06:57                2299
tailspin-doc-5.5.0-r0.apk                          28-Oct-2025 06:57                3077
tailspin-fish-completion-5.5.0-r0.apk              28-Oct-2025 06:57                2163
tailspin-zsh-completion-5.5.0-r0.apk               28-Oct-2025 06:57                2521
tang-15-r0.apk                                     25-Jan-2025 07:04               15458
tang-dbg-15-r0.apk                                 25-Jan-2025 07:04               32685
tang-doc-15-r0.apk                                 25-Jan-2025 07:04               21063
tang-openrc-15-r0.apk                              25-Jan-2025 07:04                1936
tangctl-0_git20241007-r9.apk                       04-Dec-2025 13:54             2769224
tanidvr-1.4.1-r2.apk                               21-Mar-2025 11:52               22445
tanidvr-dhav2mkv-1.4.1-r2.apk                      21-Mar-2025 11:52               12446
tartube-2.5.0-r2.apk                               10-Dec-2025 10:25             2866413
tartube-pyc-2.5.0-r2.apk                           10-Dec-2025 10:25             1120005
taskcafe-0.3.6-r18.apk                             04-Dec-2025 13:54            14230390
taskcafe-openrc-0.3.6-r18.apk                      04-Dec-2025 13:54                1861
taskwarrior-tui-0.26.3-r0.apk                      26-Mar-2025 10:25             1260123
taskwarrior-tui-bash-completion-0.26.3-r0.apk      26-Mar-2025 10:25                2031
taskwarrior-tui-doc-0.26.3-r0.apk                  26-Mar-2025 10:25                4036
taskwarrior-tui-fish-completion-0.26.3-r0.apk      26-Mar-2025 10:25                1779
tayga-0.9.5-r0.apk                                 20-Jun-2025 07:58               26217
tayga-doc-0.9.5-r0.apk                             20-Jun-2025 07:58                6202
tcl-curl-7.22.0-r0.apk                             25-Oct-2024 20:07               29390
tcl-curl-doc-7.22.0-r0.apk                         25-Oct-2024 20:07               38565
tcpbench-3.00-r1.apk                               13-Sep-2025 01:00               14414
tcpbench-doc-3.00-r1.apk                           13-Sep-2025 01:00                5384
tdrop-0.5.0-r0.apk                                 25-Oct-2024 20:07               12105
tdrop-doc-0.5.0-r0.apk                             25-Oct-2024 20:07                9066
tealdeer-1.8.0-r0.apk                              27-Oct-2025 22:26              843340
tealdeer-bash-completion-1.8.0-r0.apk              27-Oct-2025 22:26                2080
tealdeer-fish-completion-1.8.0-r0.apk              27-Oct-2025 22:26                2326
tealdeer-zsh-completion-1.8.0-r0.apk               27-Oct-2025 22:26                2442
telegram-bot-api-9.1-r0.apk                        02-Aug-2025 10:32             7478287
telegram-tdlib-1.8.51-r0.apk                       02-Aug-2025 10:26             7458879
telegram-tdlib-dev-1.8.51-r0.apk                   02-Aug-2025 10:26              193205
telegram-tdlib-static-1.8.51-r0.apk                02-Aug-2025 10:26            20841338
templ-0.3.960-r1.apk                               04-Dec-2025 13:54             5486501
tenv-4.9.0-r1.apk                                  04-Dec-2025 13:54             9984862
tenv-bash-completion-4.9.0-r1.apk                  04-Dec-2025 13:54                6245
tenv-fish-completion-4.9.0-r1.apk                  04-Dec-2025 13:54                4429
tenv-zsh-completion-4.9.0-r1.apk                   04-Dec-2025 13:54                4136
tere-1.6.0-r0.apk                                  25-Oct-2024 20:07             1067726
tere-doc-1.6.0-r0.apk                              25-Oct-2024 20:07               14322
termbox-1.1.2-r1.apk                               25-Oct-2024 20:07               11373
termbox-dev-1.1.2-r1.apk                           25-Oct-2024 20:07                5870
termbox-static-1.1.2-r1.apk                        25-Oct-2024 20:07               12156
termcolor-2.1.0-r0.apk                             25-Oct-2024 20:07                1508
termcolor-dev-2.1.0-r0.apk                         25-Oct-2024 20:07                7001
terminology-1.14.0-r0.apk                          27-May-2025 22:49             2810068
terminology-doc-1.14.0-r0.apk                      27-May-2025 22:49                9211
terminology-lang-1.14.0-r0.apk                     27-May-2025 22:49              146407
termusic-mpv-0.12.0-r0.apk                         13-Oct-2025 01:37            10345796
texlab-5.25.0-r0.apk                               30-Dec-2025 21:15             9093186
tfupdate-0.8.2-r11.apk                             04-Dec-2025 13:54             5040776
tfupdate-doc-0.8.2-r11.apk                         04-Dec-2025 13:54                2322
theforceengine-1.09.540-r1.apk                     25-Oct-2024 20:07             7141951
theforceengine-doc-1.09.540-r1.apk                 25-Oct-2024 20:07             6631395
thefuck-3.32-r5.apk                                25-Oct-2024 20:07               85337
thefuck-pyc-3.32-r5.apk                            25-Oct-2024 20:07              159462
thelounge-4.4.3-r0.apk                             25-Oct-2024 20:07            29219210
thelounge-doc-4.4.3-r0.apk                         25-Oct-2024 20:07                2358
thelounge-openrc-4.4.3-r0.apk                      25-Oct-2024 20:07                2085
theme.sh-1.1.5-r0.apk                              25-Oct-2024 20:07               39991
theme.sh-doc-1.1.5-r0.apk                          25-Oct-2024 20:07                2353
throttled-0.10.0-r1.apk                            15-Dec-2024 19:22               14904
throttled-openrc-0.10.0-r1.apk                     15-Dec-2024 19:22                1646
throttled-pyc-0.10.0-r1.apk                        15-Dec-2024 19:22               29048
thumbdrives-0.3.2-r2.apk                           25-Oct-2024 20:07               11205
thunar-gtkhash-plugin-1.5-r0.apk                   25-Oct-2024 20:07               22329
thunarx-python-0.5.2-r2.apk                        25-Oct-2024 20:07                9615
thunarx-python-doc-0.5.2-r2.apk                    25-Oct-2024 20:07               25807
tick-1.2.3-r0.apk                                  07-Oct-2025 21:03               10960
tick-doc-1.2.3-r0.apk                              07-Oct-2025 21:03                5643
ticker-5.0.7-r7.apk                                04-Dec-2025 13:54             3638725
ticker-bash-completion-5.0.7-r7.apk                04-Dec-2025 13:54                6262
ticker-fish-completion-5.0.7-r7.apk                04-Dec-2025 13:54                4440
ticker-zsh-completion-5.0.7-r7.apk                 04-Dec-2025 13:54                4157
timeshift-25.12.2-r0.apk                           23-Dec-2025 21:03              478030
timeshift-doc-25.12.2-r0.apk                       23-Dec-2025 21:03                3233
timeshift-lang-25.12.2-r0.apk                      23-Dec-2025 21:03             1069421
timew-1.4.3-r1.apk                                 25-Oct-2024 20:07              254274
timew-bash-completion-1.4.3-r1.apk                 25-Oct-2024 20:07                2829
timew-doc-1.4.3-r1.apk                             25-Oct-2024 20:07               54428
timewarrior-1.7.1-r0.apk                           25-Oct-2024 20:07              268603
timewarrior-doc-1.7.1-r0.apk                       25-Oct-2024 20:07               22713
tintin-2.02.51-r0.apk                              24-Nov-2025 12:41             1919703
tinyemu-2019.12.21-r0.apk                          25-May-2025 23:35              110147
tinygltf-2.9.7-r0.apk                              02-Nov-2025 20:50              140660
tinygltf-dev-2.9.7-r0.apk                          02-Nov-2025 20:50               58538
tinyscheme-1.42-r1.apk                             25-Oct-2024 20:07               52761
tldr-python-client-3.3.0-r0.apk                    01-Dec-2024 17:09               12405
tldr-python-client-doc-3.3.0-r0.apk                01-Dec-2024 17:09                3588
tldr-python-client-pyc-3.3.0-r0.apk                01-Dec-2024 17:09               14515
tmate-2.4.0-r4.apk                                 25-Oct-2024 20:07              241373
tmate-doc-2.4.0-r4.apk                             25-Oct-2024 20:07               73443
tmpl-0.4.0-r16.apk                                 04-Dec-2025 13:54             2837587
tmpl-doc-0.4.0-r16.apk                             04-Dec-2025 13:54                2306
tmpmail-1.2.3-r2.apk                               25-Oct-2024 20:07                7167
tmpmail-doc-1.2.3-r2.apk                           25-Oct-2024 20:07                3302
tmux-resurrect-4.0.0-r0.apk                        25-Oct-2024 20:07               14185
tmux-resurrect-doc-4.0.0-r0.apk                    25-Oct-2024 20:07                8595
tncattach-0.1.9-r1.apk                             25-Oct-2024 20:07               22016
tncattach-doc-0.1.9-r1.apk                         25-Oct-2024 20:07                4003
tnef-1.4.18-r0.apk                                 25-Oct-2024 20:07               25723
tnef-doc-1.4.18-r0.apk                             25-Oct-2024 20:07                4298
toapk-1.0-r0.apk                                   25-Oct-2024 20:07               10613
today-6.2.1-r0.apk                                 17-Jun-2025 15:29                3258
today-doc-6.2.1-r0.apk                             17-Jun-2025 15:29                3384
tofu-ls-0.2.0-r1.apk                               04-Dec-2025 13:54             6104069
tofu-ls-doc-0.2.0-r1.apk                           04-Dec-2025 13:54               36296
tofutf-0.10.0-r10.apk                              04-Dec-2025 13:54                1294
tofutf-agent-0.10.0-r10.apk                        04-Dec-2025 13:54             9370240
tofutf-agent-openrc-0.10.0-r10.apk                 04-Dec-2025 13:54                2039
tofutf-cli-0.10.0-r10.apk                          04-Dec-2025 13:54             8676266
tofutf-server-0.10.0-r10.apk                       04-Dec-2025 13:54            13602233
tofutf-server-openrc-0.10.0-r10.apk                04-Dec-2025 13:54                2047
toml2json-1.3.2-r0.apk                             01-Sep-2025 13:13              369669
toml2json-doc-1.3.2-r0.apk                         01-Sep-2025 13:13                3789
topgit-0.19.13-r1.apk                              25-Oct-2024 20:07              129694
topgit-bash-completion-0.19.13-r1.apk              25-Oct-2024 20:07                4124
topgit-doc-0.19.13-r1.apk                          25-Oct-2024 20:07               75189
torrent-file-editor-0.3.18-r0.apk                  25-Oct-2024 20:07              368512
toss-1.1-r1.apk                                    29-May-2025 11:57               11501
touchpad-emulator-0.3-r0.apk                       26-May-2025 09:33               13882
toybox-0.8.13-r0.apk                               16-Oct-2025 10:30              268574
tpm2-pkcs11-1.9.2-r0.apk                           13-Dec-2025 14:54              134862
tpm2-pkcs11-dev-1.9.2-r0.apk                       13-Dec-2025 14:54                1932
tpm2-pkcs11-pyc-1.9.2-r0.apk                       13-Dec-2025 14:54               71582
tqftpserv-1.1-r0.apk                               30-Dec-2025 13:51                9376
tqftpserv-doc-1.1-r0.apk                           30-Dec-2025 13:51                2523
tqftpserv-openrc-1.1-r0.apk                        30-Dec-2025 13:51                1748
tqm-1.18.0-r0.apk                                  13-Dec-2025 17:47             4853577
trace-cmd-3.3.1-r1.apk                             25-Jan-2025 07:04              167537
trace-cmd-bash-completion-3.3.1-r1.apk             25-Jan-2025 07:04                3427
trace-cmd-dbg-3.3.1-r1.apk                         25-Jan-2025 07:04              520948
trace-cmd-doc-3.3.1-r1.apk                         25-Jan-2025 07:04              175375
transito-0.10.0-r0.apk                             21-Dec-2025 22:45             8738702
transito-doc-0.10.0-r0.apk                         21-Dec-2025 22:45              773972
transmission-remote-gtk-1.6.0-r0.apk               25-Oct-2024 20:07              140850
transmission-remote-gtk-doc-1.6.0-r0.apk           25-Oct-2024 20:07                4303
transmission-remote-gtk-lang-1.6.0-r0.apk          25-Oct-2024 20:07              108526
trantor-1.5.18-r0.apk                              25-Oct-2024 20:07              216526
trantor-dev-1.5.18-r0.apk                          25-Oct-2024 20:07               34817
trantor-doc-1.5.18-r0.apk                          25-Oct-2024 20:07                2675
tre-0.8.0-r2.apk                                   25-Oct-2024 20:07               24778
tre-dev-0.8.0-r2.apk                               25-Oct-2024 20:07                5302
tre-static-0.8.0-r2.apk                            25-Oct-2024 20:07               26098
tree-sitter-caddy-0_git20230322-r0.apk             25-Oct-2024 20:07               86397
tree-sitter-caddy-doc-0_git20230322-r0.apk         25-Oct-2024 20:07                2317
tree-sitter-clojure-0.0.13-r0.apk                  24-Jul-2025 01:27               23229
tree-sitter-dart-0_git20250228-r0.apk              10-Mar-2025 22:54              101793
tree-sitter-git-commit-0_git20211225-r4.apk        25-Jul-2025 12:56               14002
tree-sitter-git-diff-0_git20230730-r1.apk          25-Jul-2025 12:56                9926
tree-sitter-git-rebase-0_git20240722-r0.apk        10-Mar-2025 22:54                5140
tree-sitter-gleam-1.1.0-r0.apk                     08-Oct-2025 23:22               67571
tree-sitter-hare-0_git20230616-r2.apk              24-Jul-2025 01:27               35926
tree-sitter-haskell-0.23.1-r0.apk                  09-Jan-2025 15:53              291148
tree-sitter-hcl-1.2.0-r0.apk                       19-Jun-2025 00:17               23031
tree-sitter-just-0_git20230318-r0.apk              25-Oct-2024 20:07               13767
tree-sitter-make-0_git20211216-r2.apk              25-Oct-2024 20:07               42268
tree-sitter-pascal-0.9.1-r0.apk                    25-Oct-2024 20:07               84746
tree-sitter-pascal-doc-0.9.1-r0.apk                25-Oct-2024 20:07                2325
tremc-0.9.4-r0.apk                                 16-Jul-2025 08:09               53843
tremc-bash-completion-0.9.4-r0.apk                 16-Jul-2025 08:09                1947
tremc-doc-0.9.4-r0.apk                             16-Jul-2025 08:09                2890
tremc-zsh-completion-0.9.4-r0.apk                  16-Jul-2025 08:09                1862
trigger-rally-0.6.7-r3.apk                         25-Mar-2025 15:01              300484
trigger-rally-data-0.6.7-r3.apk                    25-Mar-2025 15:01           369090779
trigger-rally-doc-0.6.7-r3.apk                     25-Mar-2025 15:01               28810
trippy-0.13.0-r0.apk                               18-May-2025 23:21             2291260
trippy-bash-completion-0.13.0-r0.apk               18-May-2025 23:21                3259
trippy-zsh-completion-0.13.0-r0.apk                18-May-2025 23:21                4965
trivy-0.68.2-r0.apk                                19-Dec-2025 11:18            68147954
tsung-1.8.0-r3.apk                                 13-Jun-2025 05:36              739075
ttfautohint-1.8.4-r0.apk                           25-Oct-2024 20:07               27114
ttfautohint-dev-1.8.4-r0.apk                       25-Oct-2024 20:07              155654
ttfautohint-doc-1.8.4-r0.apk                       25-Oct-2024 20:07                8291
ttfautohint-gui-1.8.4-r0.apk                       25-Oct-2024 20:07               56415
ttfautohint-libs-1.8.4-r0.apk                      25-Oct-2024 20:07              106462
tty-clock-2.3_git20240104-r0.apk                   25-Oct-2024 20:07                8597
tty-clock-doc-2.3_git20240104-r0.apk               25-Oct-2024 20:07                3172
tty-proxy-0.0.2-r33.apk                            04-Dec-2025 13:54             2729838
tty-share-2.4.0-r23.apk                            04-Dec-2025 13:54             3912313
ttynvt-0.17-r0.apk                                 22-Aug-2025 12:50               15391
ttyper-1.6.0-r0.apk                                05-Feb-2025 21:45              624519
tuckr-0.12.0-r0.apk                                30-Nov-2025 10:11              527619
tui-journal-0.10.0-r0.apk                          25-Oct-2024 20:07             2006363
tui-journal-doc-0.10.0-r0.apk                      25-Oct-2024 20:07                7063
tup-0.7.11-r1.apk                                  19-Jun-2025 08:34              235474
tup-doc-0.7.11-r1.apk                              19-Jun-2025 08:34               21365
tup-vim-0.7.11-r1.apk                              19-Jun-2025 08:34                2575
tuptime-5.2.4-r2.apk                               05-Sep-2025 06:56               14301
tuptime-doc-5.2.4-r2.apk                           05-Sep-2025 06:56                3889
tuptime-openrc-5.2.4-r2.apk                        05-Sep-2025 06:56                1789
turn-rs-3.4.0-r1.apk                               12-Jun-2025 12:08              608332
turn-rs-doc-3.4.0-r1.apk                           12-Jun-2025 12:08               11088
turn-rs-openrc-3.4.0-r1.apk                        12-Jun-2025 12:08                2004
turnstile-0.1.10-r3.apk                            25-Oct-2024 20:07               38889
turnstile-doc-0.1.10-r3.apk                        25-Oct-2024 20:07                5839
turnstile-openrc-0.1.10-r3.apk                     25-Oct-2024 20:07                1841
turntable-0.3.3-r0.apk                             27-May-2025 13:01              179511
turntable-lang-0.3.3-r0.apk                        27-May-2025 13:01               12639
twemproxy-0.5.0-r0.apk                             25-Oct-2024 20:07               62414
twemproxy-doc-0.5.0-r0.apk                         25-Oct-2024 20:07               17619
twiggy-0.6.0-r3.apk                                25-Oct-2024 20:07              804226
twinkle-1.10.3-r3.apk                              04-Jan-2025 21:55             2526895
twinkle-doc-1.10.3-r3.apk                          04-Jan-2025 21:55                3579
ty-0.0.4-r0.apk                                    21-Dec-2025 18:52             6922271
ty-bash-completion-0.0.4-r0.apk                    21-Dec-2025 18:52                2623
ty-fish-completion-0.0.4-r0.apk                    21-Dec-2025 18:52                3259
ty-pyc-0.0.4-r0.apk                                21-Dec-2025 18:52                3963
ty-zsh-completion-0.0.4-r0.apk                     21-Dec-2025 18:52                3583
typobuster-1.0.0-r0.apk                            23-Apr-2025 22:22              132458
typstyle-0.12.14-r0.apk                            12-Jan-2025 13:45              541263
u1db-qt-0.1.8-r0.apk                               25-Oct-2024 20:07               94826
uasm-2.56.2-r0.apk                                 25-Oct-2024 20:07              293509
ubase-20200605-r3.apk                              25-Oct-2024 20:07               46810
ubase-doc-20200605-r3.apk                          25-Oct-2024 20:07               21742
ubuntu-archive-keyring-2023.11.28.1-r0.apk         25-Oct-2024 20:07               16536
ubuntu-archive-keyring-doc-2023.11.28.1-r0.apk     25-Oct-2024 20:07                2323
ubus-2025.10.17-r0.apk                             25-Oct-2025 12:57               30738
ubus-dev-2025.10.17-r0.apk                         25-Oct-2025 12:57                5730
uclient-20241022-r0.apk                            29-May-2025 22:00               16211
uclient-dev-20241022-r0.apk                        29-May-2025 22:00                3632
uclient-fetch-20241022-r0.apk                      29-May-2025 22:00               11679
udpt-3.1.2-r0.apk                                  25-Oct-2024 20:07              734704
udpt-openrc-3.1.2-r0.apk                           25-Oct-2024 20:07                1848
ueberzug-18.3.1-r0.apk                             22-Feb-2025 11:09               65752
ueberzug-pyc-18.3.1-r0.apk                         22-Feb-2025 11:09               65295
ufw-docker-250710-r0.apk                           14-Sep-2025 06:51                7604
ufw-docker-doc-250710-r0.apk                       14-Sep-2025 06:51               13905
undock-0.10.0-r5.apk                               04-Dec-2025 13:54            10396775
unicorn-2.1.4-r0.apk                               14-Oct-2025 14:04             7264006
unicorn-dev-2.1.4-r0.apk                           14-Oct-2025 14:04             8415903
unit-php81-1.35.0-r1.apk                           15-Sep-2025 15:02               31651
unit-php82-1.35.0-r0.apk                           24-Oct-2025 02:07               31655
up-0.4-r34.apk                                     04-Dec-2025 13:54             1266763
update-sysfs-2.1.1_p7-r0.apk                       12-Nov-2025 10:44                3203
upterm-0.17.0-r1.apk                               04-Dec-2025 13:54             7258479
upterm-bash-completion-0.17.0-r1.apk               04-Dec-2025 13:54                5692
upterm-doc-0.17.0-r1.apk                           04-Dec-2025 13:54                7210
upterm-server-0.17.0-r1.apk                        04-Dec-2025 13:54             6825107
upterm-server-openrc-0.17.0-r1.apk                 04-Dec-2025 13:54                2005
upterm-zsh-completion-0.17.0-r1.apk                04-Dec-2025 13:54                4136
urlwatch-2.28-r2.apk                               25-Oct-2024 20:07               49878
urlwatch-doc-2.28-r2.apk                           25-Oct-2024 20:07               33987
urlwatch-pyc-2.28-r2.apk                           25-Oct-2024 20:07              103816
usb-moded-notify-0.3.0-r0.apk                      28-Dec-2025 18:16                3176
usb-moded-notify-systemd-0.3.0-r0.apk              28-Dec-2025 18:16                1820
usbtop-1.0-r0.apk                                  12-Apr-2025 12:26               13171
usql-0.19.26-r1.apk                                04-Dec-2025 13:54            44549634
ustr-1.0.4-r1.apk                                  25-Oct-2024 20:07               49182
ustr-debug-1.0.4-r1.apk                            25-Oct-2024 20:07               66158
ustr-dev-1.0.4-r1.apk                              25-Oct-2024 20:07               93648
ustr-doc-1.0.4-r1.apk                              25-Oct-2024 20:07               98898
ustr-static-1.0.4-r1.apk                           25-Oct-2024 20:07              134922
utop-2.9.1-r4.apk                                  25-Oct-2024 20:07            13926226
utop-common-2.9.1-r4.apk                           25-Oct-2024 20:07                2065
utop-doc-2.9.1-r4.apk                              25-Oct-2024 20:07                5857
utop-emacs-2.9.1-r4.apk                            25-Oct-2024 20:07               13118
utop-full-2.9.1-r4.apk                             25-Oct-2024 20:07            13930742
uucp-1.07-r6.apk                                   25-Oct-2024 20:07              478810
uucp-doc-1.07-r6.apk                               25-Oct-2024 20:07              120932
uxn-1.0-r0.apk                                     25-Oct-2024 20:07               43101
uxn-doc-1.0-r0.apk                                 25-Oct-2024 20:07                4257
vali-0.1.0-r1.apk                                  30-Nov-2025 11:57               27994
vali-dev-0.1.0-r1.apk                              30-Nov-2025 11:57                4410
vals-0.42.4-r1.apk                                 04-Dec-2025 13:54            37672608
varnish-modules-0.26.0-r0.apk                      06-Jul-2025 18:24               43056
varnish-modules-doc-0.26.0-r0.apk                  06-Jul-2025 18:24               22050
vcdimager-2.0.1-r5.apk                             25-Jan-2025 07:04              492809
vcdimager-dev-2.0.1-r5.apk                         25-Jan-2025 07:04              120982
vcdimager-doc-2.0.1-r5.apk                         25-Jan-2025 07:04               76072
vcsh-2.0.5-r0.apk                                  25-Oct-2024 20:07                9005
vcsh-bash-completion-2.0.5-r0.apk                  25-Oct-2024 20:07                2990
vcsh-doc-2.0.5-r0.apk                              25-Oct-2024 20:07               27846
vcsh-zsh-completion-2.0.5-r0.apk                   25-Oct-2024 20:07                2998
vcstool-0.3.0-r5.apk                               25-Oct-2024 20:07               35636
vcstool-bash-completion-0.3.0-r5.apk               25-Oct-2024 20:07                1797
vcstool-pyc-0.3.0-r5.apk                           25-Oct-2024 20:07               59109
vcstool-tcsh-completion-0.3.0-r5.apk               25-Oct-2024 20:07                1674
vcstool-zsh-completion-0.3.0-r5.apk                25-Oct-2024 20:07                1769
vectoroids-1.1.0-r2.apk                            25-Oct-2024 20:07              287880
vectoroids-doc-1.1.0-r2.apk                        25-Oct-2024 20:07                2348
vera++-1.3.0-r11.apk                               12-Oct-2025 14:25              189648
vertigo-0.3.4-r1.apk                               04-Dec-2025 13:54             1655167
vertigo-doc-0.3.4-r1.apk                           04-Dec-2025 13:54                1861
vfd-configurations-0_git20230612-r0.apk            25-Oct-2024 20:07               25396
vice-3.9-r2.apk                                    27-Sep-2025 13:41            13195282
vice-doc-3.9-r2.apk                                27-Sep-2025 13:41             2304051
video-trimmer-25.03-r0.apk                         30-Jun-2025 13:46              406571
video-trimmer-lang-25.03-r0.apk                    30-Jun-2025 13:46               94808
viewnior-1.8-r1.apk                                25-Oct-2024 20:07               71492
viewnior-doc-1.8-r1.apk                            25-Oct-2024 20:07                2149
viewnior-lang-1.8-r1.apk                           25-Oct-2024 20:07               87194
vile-9.8z_p1-r2.apk                                07-Oct-2025 21:03              776038
vile-common-9.8z_p1-r2.apk                         07-Oct-2025 21:03              362817
vile-doc-9.8z_p1-r2.apk                            07-Oct-2025 21:03              365756
vim-airline-0.11-r0.apk                            25-Oct-2024 20:07               88456
vim-airline-doc-0.11-r0.apk                        25-Oct-2024 20:07               12419
vim-nerdtree-7.1.3-r0.apk                          04-Mar-2025 21:00               68159
vim-rust-305-r1.apk                                21-Aug-2025 07:55               20373
vimv-3.1.0-r0.apk                                  12-Oct-2025 21:20              267257
vimv-doc-3.1.0-r0.apk                              12-Oct-2025 21:20                2047
virtctl-1.6.2-r1.apk                               04-Dec-2025 13:54            15755454
virtctl-bash-completion-1.6.2-r1.apk               04-Dec-2025 13:54                5245
virtctl-fish-completion-1.6.2-r1.apk               04-Dec-2025 13:54                4429
virtctl-zsh-completion-1.6.2-r1.apk                04-Dec-2025 13:54                4142
virter-0.29.0-r5.apk                               04-Dec-2025 13:54             5935315
virter-bash-completion-0.29.0-r5.apk               04-Dec-2025 13:54                6255
virter-doc-0.29.0-r5.apk                           04-Dec-2025 13:54               15236
virter-fish-completion-0.29.0-r5.apk               04-Dec-2025 13:54                4433
virter-zsh-completion-0.29.0-r5.apk                04-Dec-2025 13:54                4146
virtualgl-3.1.4-r0.apk                             08-Oct-2025 23:54             1756422
virtualgl-dev-3.1.4-r0.apk                         08-Oct-2025 23:54                6264
virtualgl-doc-3.1.4-r0.apk                         08-Oct-2025 23:54              322221
visidata-3.3-r0.apk                                15-Sep-2025 13:56              434837
visidata-doc-3.3-r0.apk                            15-Sep-2025 13:56               18830
visidata-pyc-3.3-r0.apk                            15-Sep-2025 13:56              866109
visidata-zsh-completion-3.3-r0.apk                 15-Sep-2025 13:56                9660
vit-2.3.2-r1.apk                                   25-Oct-2024 20:07               82410
vit-pyc-2.3.2-r1.apk                               25-Oct-2024 20:07              155113
vkbasalt-0.3.2.10-r0.apk                           25-Oct-2024 20:07              376128
vkbasalt-doc-0.3.2.10-r0.apk                       25-Oct-2024 20:07                3133
vmlinux.h-6.18-r0.apk                              16-Dec-2025 22:34              227084
vmtouch-1.3.1-r0.apk                               25-Oct-2024 20:07               13383
vmtouch-doc-1.3.1-r0.apk                           25-Oct-2024 20:07                8228
voikko-fi-2.5-r0.apk                               25-Oct-2024 20:07             1638404
volumeicon-0.5.1-r1.apk                            25-Oct-2024 20:07               41610
volumeicon-lang-0.5.1-r1.apk                       25-Oct-2024 20:07                3851
vym-2.9.26-r0.apk                                  25-Oct-2024 20:07             2911075
vym-doc-2.9.26-r0.apk                              25-Oct-2024 20:07             3555350
w_scan2-1.0.17-r0.apk                              11-Jun-2025 17:33              145522
w_scan2-doc-1.0.17-r0.apk                          11-Jun-2025 17:33                4250
wabt-1.0.37-r0.apk                                 06-Apr-2025 21:39             3951084
wabt-doc-1.0.37-r0.apk                             06-Apr-2025 21:39               13742
waifu2x-converter-cpp-5.3.4-r9.apk                 07-Jul-2025 07:21            12157009
wakeonlan-0.42-r0.apk                              25-Oct-2024 20:07                4613
wakeonlan-doc-0.42-r0.apk                          25-Oct-2024 20:07                7695
walk-1.13.0-r8.apk                                 04-Dec-2025 13:54             3187442
walk-doc-1.13.0-r8.apk                             04-Dec-2025 13:54                2285
walk-sor-0_git20190920-r1.apk                      25-Oct-2024 20:07                5284
walk-sor-doc-0_git20190920-r1.apk                  25-Oct-2024 20:07                7955
warp-s3-1.3.1-r1.apk                               04-Dec-2025 13:54             8494729
warpinator-2.0.0-r0.apk                            25-Nov-2025 19:03              225786
warpinator-lang-2.0.0-r0.apk                       25-Nov-2025 19:03              237230
warpinator-nemo-2.0.0-r0.apk                       25-Nov-2025 19:03                4407
watchbind-0.2.1-r1.apk                             25-Oct-2024 20:07             1166244
watchbind-doc-0.2.1-r1.apk                         25-Oct-2024 20:07                6723
watchdog-5.16-r2.apk                               25-Oct-2024 20:07               42722
watchdog-doc-5.16-r2.apk                           25-Oct-2024 20:07               14562
watershot-0.2.0-r0.apk                             25-Oct-2024 20:07             1736824
way-displays-1.15.0-r0.apk                         30-Sep-2025 09:47              101677
way-displays-doc-1.15.0-r0.apk                     30-Sep-2025 09:47                4659
way-secure-0.2.0-r0.apk                            18-Apr-2025 22:10              213207
way-secure-doc-0.2.0-r0.apk                        18-Apr-2025 22:10                3105
wayfarer-1.4.0-r0.apk                              05-Nov-2025 18:52               62825
wayfire-0.9.0-r0.apk                               27-Feb-2025 20:17             2489711
wayfire-dev-0.9.0-r0.apk                           27-Feb-2025 20:17              132971
wayfire-doc-0.9.0-r0.apk                           27-Feb-2025 20:17                3727
wayfire-plugins-extra-0.9.0-r0.apk                 27-Feb-2025 20:17              538646
waylevel-1.0.0-r1.apk                              25-Oct-2024 20:07              308972
waynergy-0.0.17-r1.apk                             13-Sep-2025 01:00               46135
wayqt-0.3.0-r1.apk                                 21-Aug-2025 07:47              129488
wayqt-dev-0.3.0-r1.apk                             21-Aug-2025 07:47               18801
wbg-1.3.0-r1.apk                                   01-Nov-2025 13:37               39738
wch-isp-0.4.1-r2.apk                               25-Oct-2024 20:07               10656
wch-isp-doc-0.4.1-r2.apk                           25-Oct-2024 20:07                2724
wch-isp-udev-rules-0.4.1-r2.apk                    25-Oct-2024 20:07                1689
wcm-0.9.0-r0.apk                                   27-Feb-2025 20:17              361495
webhookd-1.20.2-r5.apk                             04-Dec-2025 13:54             3432290
webhookd-doc-1.20.2-r5.apk                         04-Dec-2025 13:54                2316
webhookd-openrc-1.20.2-r5.apk                      04-Dec-2025 13:54                2305
webtunnel-0.0.2-r6.apk                             04-Dec-2025 13:54             3876453
weggli-0.2.4-r1.apk                                25-Oct-2024 20:07              845352
wf-config-0.9.0-r0.apk                             27-Feb-2025 20:17              105787
wf-config-dev-0.9.0-r0.apk                         27-Feb-2025 20:17               16648
wf-shell-0.9.0-r0.apk                              27-Feb-2025 20:17             6359001
wf-shell-dev-0.9.0-r0.apk                          27-Feb-2025 20:17                1690
wf-shell-doc-0.9.0-r0.apk                          27-Feb-2025 20:17                3158
wgcf-2.2.29-r1.apk                                 04-Dec-2025 13:54             4756553
wgcf-bash-completion-2.2.29-r1.apk                 04-Dec-2025 13:54                6244
wgcf-fish-completion-2.2.29-r1.apk                 04-Dec-2025 13:54                4424
wgcf-zsh-completion-2.2.29-r1.apk                  04-Dec-2025 13:54                4143
wiki-tui-0.9.1-r0.apk                              02-Nov-2025 18:13             2287329
wiki-tui-doc-0.9.1-r0.apk                          02-Nov-2025 18:13                4643
wiremapper-0.10.0-r0.apk                           25-Oct-2024 20:07               22171
wiringx-0_git20240317-r2.apk                       03-Mar-2025 16:08               49611
wiringx-dev-0_git20240317-r2.apk                   03-Mar-2025 16:08               68499
witchery-0.0.3-r2.apk                              25-Oct-2024 20:07                3275
wk-adblock-0.0.4-r5.apk                            25-Oct-2024 20:07              176532
wk-adblock-doc-0.0.4-r5.apk                        25-Oct-2024 20:07                2143
wl-clip-persist-0.5.0-r0.apk                       26-Oct-2025 12:29              980895
wl-clipboard-x11-5-r3.apk                          25-Oct-2024 20:07                3500
wl-clipboard-x11-doc-5-r3.apk                      25-Oct-2024 20:07                3010
wl-gammarelay-0.1.3-r4.apk                         04-Dec-2025 13:54             1713456
wl-screenrec-0.1.7-r1.apk                          27-Aug-2025 16:17              581682
wl-screenrec-bash-completion-0.1.7-r1.apk          27-Aug-2025 16:17                2478
wl-screenrec-doc-0.1.7-r1.apk                      27-Aug-2025 16:17                9732
wl-screenrec-fish-completion-0.1.7-r1.apk          27-Aug-2025 16:17                3292
wl-screenrec-zsh-completion-0.1.7-r1.apk           27-Aug-2025 16:17                3742
wlavu-0_git20201101-r1.apk                         25-Oct-2024 20:07               11638
wlclock-1.0.1-r0.apk                               25-Oct-2024 20:07               15353
wlclock-doc-1.0.1-r0.apk                           25-Oct-2024 20:07                3368
wlr-sunclock-1.2.1-r0.apk                          01-Dec-2025 14:08               43730
wlroots0.17-0.17.4-r3.apk                          12-Aug-2025 21:54              357261
wlroots0.17-dbg-0.17.4-r3.apk                      12-Aug-2025 21:54             1539452
wlroots0.17-dev-0.17.4-r3.apk                      12-Aug-2025 21:54               79270
wlroots0.18-0.18.3-r0.apk                          28-Nov-2025 23:54              369629
wlroots0.18-dbg-0.18.3-r0.apk                      28-Nov-2025 23:54             1603978
wlroots0.18-dev-0.18.3-r0.apk                      28-Nov-2025 23:54               84621
wlroots0.18-static-0.18.3-r0.apk                   28-Nov-2025 23:54             8419669
wmctrl-1.07-r1.apk                                 25-Oct-2024 20:07               14038
wmctrl-doc-1.07-r1.apk                             25-Oct-2024 20:07                5226
wmi-client-1.3.16-r5.apk                           25-Oct-2024 20:07             2415266
wok-3.0.0-r6.apk                                   25-Oct-2024 20:07              160360
wok-doc-3.0.0-r6.apk                               25-Oct-2024 20:07                3755
wok-lang-3.0.0-r6.apk                              25-Oct-2024 20:07               16425
wok-pyc-3.0.0-r6.apk                               25-Oct-2024 20:07              121525
wol-0.7.1-r3.apk                                   25-Oct-2024 20:07               25313
wol-doc-0.7.1-r3.apk                               25-Oct-2024 20:07                5655
wol-lang-0.7.1-r3.apk                              25-Oct-2024 20:07                8351
wolfssh-1.4.17-r1.apk                              21-Sep-2025 14:09              133360
wolfssh-dev-1.4.17-r1.apk                          21-Sep-2025 14:09              173980
wpa_actiond-1.4-r7.apk                             25-Oct-2024 20:07                9495
wpa_actiond-openrc-1.4-r7.apk                      25-Oct-2024 20:07                2271
wput-0.6.2-r4.apk                                  25-Oct-2024 20:07               39525
wput-doc-0.6.2-r4.apk                              25-Oct-2024 20:07                8445
wshowkeys-1.0-r0.apk                               25-Oct-2024 20:07               12604
wsmancli-2.8.0-r0.apk                              15-Jul-2025 08:22               17494
wsmancli-doc-2.8.0-r0.apk                          15-Jul-2025 08:22                3755
wtfutil-0.43.0-r18.apk                             04-Dec-2025 13:54            20090981
x11docker-7.6.0-r1.apk                             25-Oct-2024 20:07              116153
x11docker-doc-7.6.0-r1.apk                         25-Oct-2024 20:07                9617
xa-2.4.1-r0.apk                                    25-Feb-2025 12:36               70772
xa-doc-2.4.1-r0.apk                                25-Feb-2025 12:36               17611
xcape-1.2-r1.apk                                   14-May-2025 21:14                6801
xcape-doc-1.2-r1.apk                               14-May-2025 21:14                3152
xcompmgr-1.1.10-r0.apk                             28-Jun-2025 11:24               15249
xcompmgr-doc-1.1.10-r0.apk                         28-Jun-2025 11:24                2659
xdg-native-messaging-proxy-0.1.0-r0.apk            27-Nov-2025 14:19               20717
xdg-native-messaging-proxy-systemd-0.1.0-r0.apk    27-Nov-2025 14:19                1817
xdg-ninja-0.2.0.2-r0.apk                           25-Oct-2024 20:07               72138
xed-3.8.4-r0.apk                                   23-Oct-2025 07:55             1114425
xed-dev-3.8.4-r0.apk                               23-Oct-2025 07:55               13981
xed-doc-3.8.4-r0.apk                               23-Oct-2025 07:55              994190
xed-lang-3.8.4-r0.apk                              23-Oct-2025 07:55             2192252
xed-python-3.8.4-r0.apk                            23-Oct-2025 07:55               25074
xendmail-0.4.4-r0.apk                              06-Aug-2025 08:50              961136
xendmail-doc-0.4.4-r0.apk                          06-Aug-2025 08:50                2594
xfce4-hamster-plugin-1.17-r0.apk                   25-Oct-2024 20:07               31375
xfce4-hamster-plugin-lang-1.17-r0.apk              25-Oct-2024 20:07                5188
xfce4-mixer-4.18.1-r2.apk                          25-Oct-2024 20:07               83912
xfce4-mixer-doc-4.18.1-r2.apk                      25-Oct-2024 20:07                2539
xfce4-mixer-lang-4.18.1-r2.apk                     25-Oct-2024 20:07               60149
xfce4-panel-profiles-1.1.1-r1.apk                  24-Nov-2025 12:53               58974
xfce4-panel-profiles-doc-1.1.1-r1.apk              24-Nov-2025 12:53               20427
xfce4-panel-profiles-lang-1.1.1-r1.apk             24-Nov-2025 12:53               54762
xfd-1.1.4-r0.apk                                   25-Oct-2024 20:07               13201
xfd-doc-1.1.4-r0.apk                               25-Oct-2024 20:07                5046
xfsdump-3.2.0-r0.apk                               30-Aug-2025 20:48              396057
xfsdump-doc-3.2.0-r0.apk                           30-Aug-2025 20:48               43467
xgalaga-2.1.1.0-r1.apk                             25-Oct-2024 20:07              282208
xgalaga-doc-2.1.1.0-r1.apk                         25-Oct-2024 20:07                2610
xiccd-0.3.0_git20211219-r1.apk                     25-Oct-2024 20:07               15247
xiccd-doc-0.3.0_git20211219-r1.apk                 25-Oct-2024 20:07                3381
xisxwayland-2-r1.apk                               25-Oct-2024 20:07                3965
xisxwayland-doc-2-r1.apk                           25-Oct-2024 20:07                2002
xkb-switch-1.8.5-r1.apk                            14-May-2025 21:14               19242
xkb-switch-doc-1.8.5-r1.apk                        14-May-2025 21:14                2166
xlhtml-0.5.1-r0.apk                                25-Oct-2024 20:07               11001
xlhtml-doc-0.5.1-r0.apk                            25-Oct-2024 20:07                2543
xload-1.1.4-r0.apk                                 25-Oct-2024 20:07                6370
xload-doc-1.1.4-r0.apk                             25-Oct-2024 20:07                3282
xmag-1.0.8-r0.apk                                  25-Oct-2024 20:07               16180
xmag-doc-1.0.8-r0.apk                              25-Oct-2024 20:07                4855
xml2rfc-3.28.1-r3.apk                              18-Dec-2025 11:02              360325
xml2rfc-pyc-3.28.1-r3.apk                          18-Dec-2025 11:02              417281
xmoto-0.6.3-r0.apk                                 03-Oct-2025 17:02             1788880
xmoto-data-0.6.3-r0.apk                            03-Oct-2025 17:02            38475777
xmoto-doc-0.6.3-r0.apk                             03-Oct-2025 17:02                6045
xmoto-lang-0.6.3-r0.apk                            03-Oct-2025 17:02              544831
xmp-4.2.0-r0.apk                                   25-Oct-2024 20:07               22292
xmp-doc-4.2.0-r0.apk                               25-Oct-2024 20:07                5424
xmpp-dns-0.2.4-r29.apk                             04-Dec-2025 13:54             2037581
xmppipe-0.16.0-r1.apk                              25-Oct-2024 20:07               15817
xone-src-0.5.1-r0.apk                              22-Dec-2025 16:40               59019
xonsh-0.19.9-r0.apk                                31-Oct-2025 11:58              603036
xonsh-pyc-0.19.9-r0.apk                            31-Oct-2025 11:58             1073856
xosview-1.24-r0.apk                                25-Oct-2024 20:07              114299
xosview-doc-1.24-r0.apk                            25-Oct-2024 20:07               12799
xsane-0.999-r2.apk                                 25-Oct-2024 20:07             1580481
xsane-doc-0.999-r2.apk                             25-Oct-2024 20:07                4415
xsane-lang-0.999-r2.apk                            25-Oct-2024 20:07              450898
xsecurelock-1.9.0-r1.apk                           25-Oct-2024 20:07               63200
xsecurelock-doc-1.9.0-r1.apk                       25-Oct-2024 20:07               18179
xsoldier-1.8-r2.apk                                25-Oct-2024 20:07               70087
xsoldier-doc-1.8-r2.apk                            25-Oct-2024 20:07                2683
xtensor-0.27.0-r0.apk                              26-Aug-2025 12:09              276186
xtl-0.8.1-r0.apk                                   27-Oct-2025 13:41               91892
xva-img-1.5-r0.apk                                 25-Oct-2024 20:07               16792
xvidtune-1.0.4-r0.apk                              25-Oct-2024 20:07               16721
xvidtune-doc-1.0.4-r0.apk                          25-Oct-2024 20:07                4272
xvile-9.8z_p1-r2.apk                               07-Oct-2025 21:03              801953
xwayland-satellite-0.7-r0.apk                      30-Aug-2025 22:13             1042911
yamkix-0.14.0-r0.apk                               22-Dec-2025 19:55               17737
yamkix-pyc-0.14.0-r0.apk                           22-Dec-2025 19:55               20674
yaml-language-server-1.19.2-r0.apk                 08-Oct-2025 22:16              682033
yaml-language-server-doc-1.19.2-r0.apk             08-Oct-2025 22:16                2334
yamldiff-0.3.0-r3.apk                              04-Dec-2025 13:54             1714687
yamldiff-doc-0.3.0-r3.apk                          04-Dec-2025 13:54                2304
yarn-berry-4.9.1-r0.apk                            15-Apr-2025 22:00             1064073
yaru-common-25.10.1-r0.apk                         15-Jul-2025 08:22             4024849
yaru-icon-theme-25.10.1-r0.apk                     15-Jul-2025 08:22            37043033
yaru-icon-theme-bark-25.10.1-r0.apk                15-Jul-2025 08:22             1187437
yaru-icon-theme-blue-25.10.1-r0.apk                15-Jul-2025 08:22             1228517
yaru-icon-theme-magenta-25.10.1-r0.apk             15-Jul-2025 08:22             1204143
yaru-icon-theme-mate-25.10.1-r0.apk                15-Jul-2025 08:22             1398494
yaru-icon-theme-olive-25.10.1-r0.apk               15-Jul-2025 08:22             1201616
yaru-icon-theme-prussiangreen-25.10.1-r0.apk       15-Jul-2025 08:22             1215073
yaru-icon-theme-purple-25.10.1-r0.apk              15-Jul-2025 08:22             1251483
yaru-icon-theme-red-25.10.1-r0.apk                 15-Jul-2025 08:22             1257582
yaru-icon-theme-sage-25.10.1-r0.apk                15-Jul-2025 08:22             1236615
yaru-icon-theme-viridian-25.10.1-r0.apk            15-Jul-2025 08:22             1212703
yaru-schemas-25.10.1-r0.apk                        15-Jul-2025 08:22                1895
yaru-shell-25.10.1-r0.apk                          15-Jul-2025 08:22              232212
yaru-sounds-25.10.1-r0.apk                         15-Jul-2025 08:22             1262896
yaru-theme-25.10.1-r0.apk                          15-Jul-2025 08:22              860560
yaru-theme-bark-25.10.1-r0.apk                     15-Jul-2025 08:22              781093
yaru-theme-blue-25.10.1-r0.apk                     15-Jul-2025 08:22              784437
yaru-theme-hdpi-25.10.1-r0.apk                     15-Jul-2025 08:22               75022
yaru-theme-magenta-25.10.1-r0.apk                  15-Jul-2025 08:22              780139
yaru-theme-mate-25.10.1-r0.apk                     15-Jul-2025 08:22              790478
yaru-theme-olive-25.10.1-r0.apk                    15-Jul-2025 08:22              777442
yaru-theme-prussiangreen-25.10.1-r0.apk            15-Jul-2025 08:22              777942
yaru-theme-purple-25.10.1-r0.apk                   15-Jul-2025 08:22              775088
yaru-theme-red-25.10.1-r0.apk                      15-Jul-2025 08:22              778145
yaru-theme-sage-25.10.1-r0.apk                     15-Jul-2025 08:22              781512
yaru-theme-viridian-25.10.1-r0.apk                 15-Jul-2025 08:22              778500
ydcv-0.7-r8.apk                                    25-Oct-2024 20:07               20504
ydcv-pyc-0.7-r8.apk                                25-Oct-2024 20:07               10829
ydcv-zsh-completion-0.7-r8.apk                     25-Oct-2024 20:07                2206
yices2-2.6.5-r0.apk                                02-Mar-2025 23:04             2042618
yices2-dev-2.6.5-r0.apk                            02-Mar-2025 23:04               42384
yices2-libs-2.6.5-r0.apk                           02-Mar-2025 23:04              748433
yoshimi-2.3.3.3-r0.apk                             13-Mar-2025 22:33             6150091
yoshimi-doc-2.3.3.3-r0.apk                         13-Mar-2025 22:33             4740672
yosys-0.57-r0.apk                                  24-Oct-2025 21:15            23706052
yosys-dev-0.57-r0.apk                              24-Oct-2025 21:15              283925
youtube-viewer-3.11.6-r0.apk                       09-Jun-2025 05:05               85851
youtube-viewer-doc-3.11.6-r0.apk                   09-Jun-2025 05:05               41593
youtube-viewer-gtk-3.11.6-r0.apk                   09-Jun-2025 05:05              175717
ytmdl-2024.08.15.1-r1.apk                          14-May-2025 21:14               51372
ytmdl-bash-completion-2024.08.15.1-r1.apk          14-May-2025 21:14                2325
ytmdl-pyc-2024.08.15.1-r1.apk                      14-May-2025 21:14               79979
ytmdl-zsh-completion-2024.08.15.1-r1.apk           14-May-2025 21:14                2163
yubikey-agent-0.1.6-r17.apk                        04-Dec-2025 13:54             2029302
z-1.12-r0.apk                                      25-Oct-2024 20:07                4694
z-doc-1.12-r0.apk                                  25-Oct-2024 20:07                3984
zafiro-icon-theme-1.3-r0.apk                       25-Oct-2024 20:07            20169315
zarchive-0.1.2-r2.apk                              25-Oct-2024 20:07               15518
zarchive-dev-0.1.2-r2.apk                          25-Oct-2024 20:07                6899
zarchive-libs-0.1.2-r2.apk                         25-Oct-2024 20:07               22666
zfs-src-2.4.0-r0.apk                               19-Dec-2025 08:45            34584325
zfsbootmenu-2.3.0-r1.apk                           25-Oct-2024 20:07              131316
zfsbootmenu-doc-2.3.0-r1.apk                       25-Oct-2024 20:07               16171
zile-2.6.2-r1.apk                                  25-Oct-2024 20:07              112654
zile-doc-2.6.2-r1.apk                              25-Oct-2024 20:07               16185
zita-njbridge-0.4.8-r1.apk                         25-Oct-2024 20:07               26455
zita-njbridge-doc-0.4.8-r1.apk                     25-Oct-2024 20:07                5361
zita-resampler-1.11.2-r0.apk                       14-Apr-2025 21:16               17403
zita-resampler-dev-1.11.2-r0.apk                   14-Apr-2025 21:16                3334
zita-resampler-doc-1.11.2-r0.apk                   14-Apr-2025 21:16                4135
zpaq-7.15-r0.apk                                   09-Oct-2025 03:50              169792
zpaq-doc-7.15-r0.apk                               09-Oct-2025 03:50               16661
zsh-histdb-skim-0.8.6-r0.apk                       25-Oct-2024 20:07              771979
zsh-manydots-magic-0_git20230607-r1.apk            25-Oct-2024 20:07                2911
zutty-0.16-r0.apk                                  12-Jan-2025 21:01              152123
zutty-doc-0.16-r0.apk                              12-Jan-2025 21:01               68161
zvbi-0.2.44-r0.apk                                 11-Mar-2025 20:40              184336
zvbi-doc-0.2.44-r0.apk                             11-Mar-2025 20:40               21556
zycore-1.5.0-r1.apk                                15-Jul-2025 08:22               20228
zycore-dev-1.5.0-r1.apk                            15-Jul-2025 08:22               38288
zycore-doc-1.5.0-r1.apk                            15-Jul-2025 08:22              443029
zydis-4.1.0-r0.apk                                 25-Oct-2024 20:07              215800
zydis-dev-4.1.0-r0.apk                             25-Oct-2024 20:07               62281
zydis-doc-4.1.0-r0.apk                             25-Oct-2024 20:07             1652024